Pull to refresh

Zynq 7000. Собираем Linux для платы QMtech

Reading time21 min
Views24K

В этой статье я решил сделать небольшое отступление от общей линии повествования и зарулю на дорогу Linux. За то непродолжительное время, что я работаю с Zynq 7000, в тематических чатах я видел много вопросов насчет того, как запустить Linux на отладке. Я в общем-то недолго думая сел проштудировал документацию, примеры и завёл его своими руками под ту плату, что у меня есть в распоряжении. После этого я решил обобщить свои знания по этому вопросу и описать процедуру сборки, подготовки загрузочного образа Linux, который включает в себя U-boot, Device Tree Source, RootFS, и само ядро Linux. В дополнение к этому, я решил немного усложнить задачу и выяснил, как можно поморгать светодиодом подключенным к PL-части устройства из пространства пользователя Linux.

Обо всём этом я написал в этой статье. Всем интересующимся - добро пожаловать под кат.

Важно! Перед началом повествования, хотелось бы заранее оговориться, что основная цель, которую я преследую при написании этой статьи - рассказать о своем опыте, с чего можно начать, при изучении отладочных плат на базе Zynq. Я не являюсь профессиональным разработчиком под ПЛИС и SoC Zynq, не являюсь системным программистом под Linux и могу допускать какие-либо ошибки в использовании терминологии, использовать не самые оптимальные пути решения задач, etc. Но отмечу, что любая конструктивная и аргументированная критика только приветствуется. Что ж, поехали…

Постановка задачи

Основная задача, которая стоит перед нами - запустить Linux на отладочной плате и увидеть приглашение на ввод логина/пароля и “дрыгнуть” ножкой подключенной к PL, на которой находится целевой светодиод D4. Подразумевается, что на плате заведётся основная периферия, такая как оперативная память, SDIO-контроллер для работы с microSD-картой, и хотелось бы, чтобы завелся Ethernet, чтобы иметь доступ к сети.

Итак. Последовательность шагов, которые нам необходимо сделать для того, чтобы запустить плату:

  1. Подготовить BSP для последующего использования в Xilinx SDK (буду пользоваться именно SDK, т.к. Vitis еще не осваивал);

  2. Проверить, что конфигурация в PL рабочая, используя простейшее baremetal-приложение, которое запустим в PS;

  3. Подготовить First Stage Bootloader;

  4. Подготовить cross-compile tool и установить зависимости, для того, чтобы было чем компилировать сырцы для нашей платы;

  5. Подготовить U-Boot, сконфигурировать его;

  6. Подготовить Linux kernel для последующей загрузки;

  7. Подготовить образ RootFS;

  8. Скомпоновать необходимые файлы и подготовить их к загрузке с microSD-карты;

  9. Проверить, что Linux загружается, инициализирована вся необходимая периферия и есть доступ к локальной сети

  10. Проверить, что мы можем мигнуть светодиодом подключенным к PL из Linux используя sysfs.

Подготовка BSP с помощью Vivado

Первым шагом, создаем новый проект в Vivado (как это сделать - я писал в предыдущих статьях по Zynq). После того как проект создан, создаем новый Block Design и добавляем к нему Zynq Processing System

После нам будет предложено запустить мастер автоматизации. Запускаем его и нажимаем Ok, оставив значения по умолчанию.

Следующим шагом необходимо корректно сконфигурировать процессорную систему. Двойным кликом открываем мастер настройки Zynq Processing System

Переходим в меню PS-PL Configuration. Первым делом проверяем, что во вкладке AXI Non Secure Enablement - GP Master AXI Interface - M AXI GP0 interface установлена галочка. Именно через этот AXI-интерфейс мы будем управлять нашим светодиодом. Остальные настройки в этом блоке оставляем по умолчанию.

Переходим в меню Peripheral I/O Pins. Первым делом выбираем питание Bank 1 в LVCMOS 1.8V т.к. RGMII интерфейс (для Ethernet) не поддерживает напряжение 3.3В, а только 1.8 и 2.5В

Настраиваем нашу периферию в соответствии с тем, что есть на плате: 

  1. Открываем блок Ethernet 0, ставим галочку MDIO и размечаем на позиции 16:27 Enet0 и убеждаемся, что MDIO размечен на позициях 52:53

  2. Открываем блок SD 0, ставим галочку у Card Detect. Размечаем на позицию 40:45 и Card Detect на 47

  3. Настраиваем UART. Выбираем блок UART 1 и размечаем на позицию 12:13.

  4. Ставим галочки на GPIO MIO и GPIO EMIO.

Переходим на вкладку MIO Configuration. И сверяем настройки.

Для Ethernet:

Для SDIO

Для UART

Для GPIO:

Устанавливаем EMIO GPIO (Width) в значение 1.

Далее переходим в блок настройки тактирования Clock Configuration:

Все настройки, в моем случае, выглядят таким образом:

Можно выбрать подходящие вам частоты. Я оставлю всё как есть. За исключением FCLK_CLK0, так я поставлю 100МГц. Данный Clock отвечает за частоту тактирования программируемой логики со стороны процессорной системы.

В следующем блоке DDR Configuration настроим ОЗУ. В моем случае используется следующая конфигурация: 

Остальные блоки с настройками оставляем как есть и нажимаем Ok.

Следом за Zynq PS, добавляем в наш Block Design еще один элемент - Processor System Reset. Подключаем Clock и сигналы сброса:

Добавляем блок AXI Interconnect, чтобы реализовать интерфейс взаимодействия PS и PL подсистем. Соединяем все сигналы Reset и Clock как указанно на схеме: 

После этого мы подсоединяем к блоку AXI Interconnect блок AXI GPIO, к которому подключим GPIO-ножку, к которой подключен светодиод D4. 

Настраиваем блок GPIO. Двойным кликом по AXI GPIO открываем меню настройки данного GPIO-контроллера. В нем ставим галочку All Outputs и GPIO Width в 1 т.к. будет использоваться только одна ножка для подключения светодиода:

После выполняем указания мастера автоматизации. Именуем ножку GPIO как led_h17_d4 и получаем следующую схему:

Нажимаем Ok и правую клавишу мыши по Design Sources - zynq_wrapper.bd и выбираем пункт Create HDL Wrapper. В всплывшем меню нажимаем Ok.

После этого запускаем синтез и дожидаемся его окончания. После окончания выбираем в меню  Open Synthesized Design:

Откроется общая карта распиновки, и нас в этом меню интересует в первую очередь вкладка I/O Ports. Раскрываем меню и выбираем пин H17, к которому подключен светодиод D4.

После этого будет предложено сгенерировать Constraints-файл. Дадим ему название и сохраним:

После этого необходимо заново запустить синтезирование нашей схемы для того, чтобы внести все изменения. После окончания синтеза запускаем имплементацию и генерацию битстрима. Дожидаемся окончания.

После экспортируем настройки Hardware и не забываем включить bitstream-файл:

Теперь давайте проверим с помощью простейшего baremetal-приложения, правильно ли мы всё сделали и всё ли работает: помигаем светодиодом подключенным к PL-части Zynq используя приложение PS. 

Запускаем SDK через меню File - Launch SDK и добавляем новое приложение в проект. Нажимаем File - New - Application Project. 

Пишем название проекта и нажимаем клавишу Next. Добавляем шаблонный проект Empty Application

В структуре проекта открываем папку blink - src и добавляем файл New - Source File, даём ему имя main.c. Пишем достаточно простой код для моргалки: 

/*
 * main.c
 *
 *  Created on: Jun 29, 2021
 *      Author: megalloid
 */

#include "xparameters.h"
#include "xil_printf.h"
#include "xgpio.h"
#include "xil_types.h"
#include "sleep.h"

// Get device IDs from xparameters.h
#define LED_ID 		XPAR_GPIO_0_DEVICE_ID
#define LED_CHANNEL 	1
#define LED_MASK 		0b1

int main() {
	XGpio_Config *cfg_ptr;
	XGpio led_device;
	int xStatus;

	xil_printf("\r\nEntered function main\r\n");

	// Initialize LED Device
	cfg_ptr = XGpio_LookupConfig(LED_ID);
	xStatus = XGpio_CfgInitialize(&led_device, cfg_ptr, cfg_ptr -> BaseAddress);

	if(XST_SUCCESS != xStatus)
		print("ERROR! AXI GPIO INIT FAILED \n\r");
	else
		print("SUCCESS! AXI GPIO INIT OK \n\r");

	// Set Led Tristate
	XGpio_SetDataDirection(&led_device, LED_CHANNEL, 0);

	while (1) {
		usleep(100000);
		XGpio_DiscreteWrite(&led_device, LED_CHANNEL, LED_MASK);
		usleep(100000);
		XGpio_DiscreteWrite(&led_device, LED_CHANNEL, 0);
	}
}

Сохраняем файл нажатием клавиш Ctrl + S. После этого можно загрузить bitstream в плату и запустить пример. Переходим в меню Xilinx - Program FPGA и нажимаем Program. Дожидаемся когда загрузится bitstream-файл и кликаем правой кнопке по проекту blink и нажимаем в меню Run As - Launch on Hardware (System debugger). Дожидаемся загрузки и радуемся мигающему светодиоду. Значит всё работает =)

Открываем UART-консоль нашего девайса и смотрим, что периферия успешно инициализирована, а светодиод мигает в соответствии с установленной задержкой в бесконечном цикле.

First Stage Bootloader

Итак, BSP мы подготовили, теперь необходимо сделать заготовку для сборки финального загрузочного образа. Первым шагом необходимо создать загрузчик первого уровня. 

Добавляем в дерево проектов новый проект, назовём его FSBL. Нажимаем Next и выбираем заготовку Zynq FSBL. Нажимаем Finish

После создания проекта он будет откомпилирован и будет успешно создан elf-файл который нам пригодится в последующем.

Device tree source

Первым делом нам необходимо подготовить файл описания периферии для его последующего использования во время компиляции U-Boot и ядра Linux. Такой файл описания называется Device Tree Source. Дерево устройств или просто Device Tree - это структура данных, описывающая параметры и характеристики аппаратного обеспечения используемого в устройстве.

Существует огромное множество книг описывающих подходы к разработке и описанию DTS и подробно останавливаться на разборе этого этапа я не буду.

Стоит отдельно отметить, что разработчику под Xilinx Zynq в большинстве случаев не требуется создавать файл описания самостоятельно и существует удобное средство для быстрой генерации DTS на основании BSP, который передает Vivado при переходе в SDK. Называется оно Devicetree Generator (DTG). 

Им мы и воспользуемся для генерации DTS нашей платы, предварительно добавив его в Xilinx SDK. 

git clone https://github.com/Xilinx/device-tree-xlnx
cd device-tree-xlnx
git checkout xilinx-v2019.1

Добавляем BSP Repository в SDK. Нажимаем в верхнем меню Xilinx - Repositories - New. Указываем папку, в которую клонировали репозиторий DTG и нажимаем Ok. За относительно короткое время скомпилируется генератор и можно запустить генерацию DTS-файла:

Выбираем device_tree и нажимаем Finish. Появится окно редактирования переменных окружения и драйверов. При необходимости можете добавить свои переменные окружения. 

Например, когда будет понятно, какой скрипт или команду и какой тип загрузки использовать при загрузке ядра Linux - можно добавить соответствующую запись в bootargs. 

В нашем случае, это нам делать не нужно и оставляем всё как есть. Все необходимые команды мы добавим после. Нажимаем Ok и видим что у нас сгенерирован целый ряд файлов: 

После генерации с помощью DTG появляется большой список файлов, нам же нужно получить ровно один dts-файл. Необходимо воспользоваться следующей командой для того, чтобы скомпоновать все в один файл:

gcc -I my_dts -E -nostdinc -undef -D__DTS__ -x assembler-with-cpp -o system.dts system-top.dts

Теперь нам необходимо скомпилировать Device Tree Sources в Device Tree Blob. Для этого необходимо собрать программу Device Tree Compiler:

sudo apt install bison flex python-dev swig
git clone https://git.kernel.org/pub/scm/utils/dtc/dtc.git
cd dtc
make
export PATH=$PATH:/<path-to-dtc>/dtc

После этого можно скомпилировать Device Tree Blob из DTS и создадим его копию с новым названием:

dtc -I dts -O dtb -o system.dtb system.dts
cp system.dtb zynq-qmtech.dtb

Cross compile tool и U-boot

В этом этапе можно переходить к настройке утилит кросс-компиляции для того, чтобы собрать U-Boot и ядро Linux для последующего использования на отладке.

Совет! Подробнее о генерации Device Tree для Xilinx Zynq можно почитать тут

Хотел бы в этом месте обратить ваше внимание на книгу Криса Симмондса - Встраиваемые системы на основе Linux. В которой подробно разобраны вопросы связанные с кросс-компиляцией, настройкой окружения, зависимостей. И дан подробный разбор утилит включенных в состав кросс-компилятора. 

Дополнительную информацию по компиляции U-Boot для Xilinx Zynq вы можете взять по этой ссылке.

Первым делом надо установить все необходимые зависимости для выполнения корректной кросс-компиляции.  В Ubuntu это можно сделать так:

sudo apt install \
git gcc-arm-linux-gnueabihf u-boot-tools build-essential -y

После этого можно склонировать git-репозиторий варианта U-boot, который любезно предоставляет компания Xilinx:

git clone https://github.com/Xilinx/u-boot-xlnx.git
cd u-boot-xlnx

Добавляем переменные окружения, необходимые для компиляции:

export CROSS_COMPILE=arm-linux-gnueabihf-
export ARCH=arm
make distclean
make xilinx_zynq_virt_defconfig
export DEVICE_TREE="zynq-qmtech"

Итак. Для компиляции нам необходимо подготовить config-файл, соответствующий нашей плате. Берем скомпилированный файл из прошлого этапа и кладём его в соответствующую папку:

mv ~/Zynq/Projects/8.Linux/8.Linux.sdk/device_tree_bsp_0/zynq-qmtech.dtb \
arch/arm/dts/

Запускаем компиляцию:

make -j$(nproc)

Дожидаемся окончания компиляции и видим, в конца вывода, что появились исполняемые файлы U-Boot:

 ....
 MKIMAGE spl/boot.bin
 MKIMAGE u-boot.img
 COPY    u-boot.dtb
 MKIMAGE u-boot-dtb.img
 LDS     u-boot-elf.lds
 LD      u-boot.elf
 CFGCHK  u-boot.cfg

Попробуем собрать загрузочный образ и проверить всё ли готово к загрузке ядра Linux. Для сборки первоначального образа нам нужно:

  1. First stage bootloader.  В моем случае данный файл находится в папке /home/megalloid/Zynq/Projects/8.Linux/8.Linux.sdk/FSBL/Debug/FSBL.elf 

  2. Bitstream-файл для программируемой логики. Находится в /home/megalloid/Zynq/Projects/8.Linux/8.Linux.sdk/zynq_wrapper_hw_platform_0/zynq_wrapper.bit

  3. Бинарный файл U-Boot. Данный файл лежит в папке с U-Boot: /home/megalloid/Zynq/Projects/u-boot-xlnx/u-boot.elf

Переходим в SDK и в верхнем меню выполняем команду Xilinx - Create Boot Image. Выбираем куда сохранить сгенерируемый bif-файл. Я его сохраню в корень проекта. И выберем место сохранения финального загрузочного образа. Расположим его там же в корне. 

Добавляю поочередно указанные выше файлы. Получается следующее: 

Нажимаю кнопку Create Image и генерируется загрузочный образ, который включает в себя FSBL, Bitstream-файл и U-Boot

Загружаем BOOT.bin на предварительно отформатированную SD-карту и открываем консоль UART:

Видим, что U-Boot загрузился, но ругается на отсутствие возможности продолжить загрузку. Теперь необходимо скомпилировать ядро Linux и настроить загрузку в U-Boot

Linux kernel

Первым шагом клонируем исходный официального образа Linux из репозитория Xilinx.

git clone https://github.com/Xilinx/linux-xlnx
cd linux-xlnx
make clean
make ARCH=arm xilinx_zynq_defconfig

Также можно накрутить свои опции в меню конфигурации выполнив следующую команду:

make ARCH=arm menuconfig

Далее запускаем компиляцию ядра командой:

make ARCH=arm UIMAGE_LOADADDR=0x8000 uImage -j8

После окончания компиляции мы получаем образ arch/arm/boot/uImage. 

Совет! Подробнее можно почитать на официальном wiki-ресурсе Xilinx.

RootFS image

Следующим шагом нам необходимо подготовить образ файловой системы для последующей загрузки Linux. В этом случае у нас есть возможность поступить двумя путями:

  1. Использовать pre-built образы с Xilinx Wiki;

  2. Подготовить свой собственный;

Мы пойдем первым путем и будем использовать тот, что представлен по ссылке в первом пункте. Создаем в корне папки с проектами новую папку и скачиваем туда файл с сайта:

mkdir rootfs
cd rootfs
mv ~/Downloads/arm_ramdisk.image.gz .
mkdir tmp_mnt/

Распакуем его, посмотрим содержимое и запакуем обратно:

gunzip arm_ramdisk.image.gz
chmod u+rwx arm_ramdisk.image
sudo mount -o loop arm_ramdisk.image tmp_mnt/
cd tmp_mnt/

ls -lsa 

megalloid@megalloid-lenovo:~/Zynq/Projects/rootfs/tmp_mnt$ ls -lsa
total 847
  1 drwxr-xr-x 18 root      root        1024 Nov 28  2012 .
  4 drwxrwxr-x  3 megalloid megalloid   4096 Jun 29 17:45 ..
  2 drwxr-xr-x  2 root      root        2048 Nov 28  2012 bin
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 dev
  1 drwxr-xr-x  5 root      root        1024 Nov 28  2012 etc
  1 drwxrwxrwx  3 root      root        1024 Nov 28  2012 home
  1 drwxr-xr-x  3 root      root        1024 Nov 28  2012 lib
  1 drwxr-xr-x 12 root      root        1024 Nov 28  2012 licenses
  0 lrwxrwxrwx  1 root      root          11 Nov 28  2012 linuxrc -> bin/busybox
824 drwx------  2 root      root      838656 Nov 28  2012 lost+found
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 mnt
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 opt
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 proc
  1 -rw-r--r--  1 root      root         256 Nov 28  2012 README
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 root
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 sbin
  1 drwxr-xr-x  2 root      root        1024 Nov 28  2012 sys
  1 drwxrwxrwt  2 root      root        1024 Nov 28  2012 tmp
  1 -rwxr-xr-x  1 root      root         481 Nov 28  2012 update_qspi.sh
  1 drwxr-xr-x  6 root      root        1024 Nov 28  2012 usr
  1 drwxr-xr-x  5 root      root        1024 Nov 28  2012 var

После того, как посмотрели, что лежит в составе образа, можем положить то, что нам может понадобиться. Например, какие-то свои приложения. Также для заполнения файловой системы могут использоваться инструменты наподобие Buildroot или Yocto.

Запакуем обратно:

cd ..
sudo umount tmp_mnt/
gzip arm_ramdisk.image

Для того, чтобы U-Boot корректно обработал образ ramdisk.image,его необходимо корректно обернуть заголовком U-Boot. Опция -A используется с аргументом "arm" для устройств Zynq7000 и "arm64" для устройств ZynqUS+.

megalloid@megalloid-lenovo:~/Zynq/Projects/rootfs$ mkimage \
-A arm -T ramdisk -C gzip -d arm_ramdisk.image.gz uramdisk.image.gz

Image Name:   
Created:      Tue Jun 29 18:00:27 2021
Image Type:   ARM Linux RAMDisk Image (gzip compressed)
Data Size:    5310011 Bytes = 5185.56 KiB = 5.06 MiB
Load Address: 00000000
Entry Point:  00000000

Теперь, когда у нас есть все необходимые компоненты для загрузки Linux - скопируем их на microSD и попробуем загрузиться. Не забываем переименовать файл Device Tree в удобное имя devicetree.dtb.

Вот что должно быть на SD-карте в итоге:

megalloid@megalloid-lenovo:/media/megalloid/2B80-859A$ ll
total 14556
drwxr-xr-x  2 megalloid megalloid    4096 Jan  1  1970 ./
drwxr-x---+ 3 root      root         4096 Jun 29 18:02 ../
-rw-r--r--  1 megalloid megalloid 5088608 Jun 29 15:34 BOOT.bin
-rw-r--r--  1 megalloid megalloid    9640 Jun 29 15:10 devicetree.dtb
-rw-r--r--  1 megalloid megalloid 4478152 Jun 29 16:59 uImage
-rw-r--r--  1 megalloid megalloid 5310075 Jun 29 18:00 uramdisk.image.gz

Вставляем microSD в отладочную плату и заходим в меню U-Boot, чтобы ввести необходимые указания для загрузки образа.

Когда дождемся приглашение на ввод от U-Boot нужно записать команду загрузки:

setenv mmc_boot 'fatload mmc 0 0x3000000 uImage; fatload mmc 0 0x2A00000 devicetree.dtb; fatload mmc 0 0x2000000 uramdisk.image.gz; bootm 0x3000000 0x2000000 0x2A00000;'
saveenv
reset

После перезагрузки получаем следующий лог загрузки и мы можем считать, что с основной задачей мы справились. Linux стартанул.

Лог загрузки Linux
U-Boot 2021.01-00031-g4e3336dcb5 (Jun 29 2021 - 15:11:30 +0300)

CPU:   Zynq 7z020
Silicon: v3.1
DRAM:  ECC disabled 512 MiB
Flash: 0 Bytes
NAND:  0 MiB
MMC:   mmc@e0100000: 0
Loading Environment from FAT... OK
In:    serial@e0001000
Out:   serial@e0001000
Err:   serial@e0001000
Net:
ZYNQ GEM: e000b000, mdio bus e000b000, phyaddr -1, interface rgmii-id

Warning: ethernet@e000b000 (eth0) using random MAC address - 2a:9d:8e:81:de:d2
eth0: ethernet@e000b000
Hit any key to stop autoboot:  0
4478152 bytes read in 747 ms (5.7 MiB/s)
9640 bytes read in 19 ms (495.1 KiB/s)
5310075 bytes read in 886 ms (5.7 MiB/s)
## Booting kernel from Legacy Image at 03000000 ...
   Image Name:   Linux-5.10.0-xilinx-00012-g163a7
   Image Type:   ARM Linux Kernel Image (uncompressed)
   Data Size:    4478088 Bytes = 4.3 MiB
   Load Address: 00008000
   Entry Point:  00008000
   Verifying Checksum ... OK
## Loading init Ramdisk from Legacy Image at 02000000 ...
   Image Name:
   Image Type:   ARM Linux RAMDisk Image (gzip compressed)
   Data Size:    5310011 Bytes = 5.1 MiB
   Load Address: 00000000
   Entry Point:  00000000
   Verifying Checksum ... OK
## Flattened Device Tree blob at 02a00000
   Booting using the fdt blob at 0x2a00000
   Loading Kernel Image
   Loading Ramdisk to 1e5d9000, end 1eae963b ... OK
   Loading Device Tree to 1e5d3000, end 1e5d85a7 ... OK

Starting kernel ...

Booting Linux on physical CPU 0x0
Linux version 5.10.0-xilinx-00012-g163a7d26208a (megalloid@megalloid-lenovo) (arm-linux-gnueabihf-gcc (Ubuntu 9.3.0-17ubuntu1~20.04) 9.3.0, GNU ld (GNU Binutils for Ubuntu) 2.34) #1 SMP PREEMPT Tue Jun 29 16:50:29 MSK 2021
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: xlnx,zynq-7000
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
printk: bootconsole [cdns0] enabled
Memory policy: Data cache writealloc
cma: Reserved 16 MiB at 0x1f000000
Zone ranges:
  Normal   [mem 0x0000000000000000-0x000000001fffffff]
  HighMem  empty
Movable zone start for each node
Early memory node ranges
  node   0: [mem 0x0000000000000000-0x000000001fffffff]
Initmem setup node 0 [mem 0x0000000000000000-0x000000001fffffff]
percpu: Embedded 15 pages/cpu s32204 r8192 d21044 u61440
Built 1 zonelists, mobility grouping on.  Total pages: 130048
Kernel command line: earlycon
Dentry cache hash table entries: 65536 (order: 6, 262144 bytes, linear)
Inode-cache hash table entries: 32768 (order: 5, 131072 bytes, linear)
mem auto-init: stack:off, heap alloc:off, heap free:off
Memory: 486848K/524288K available (6144K kernel code, 248K rwdata, 1856K rodata, 1024K init, 161K bss, 21056K reserved, 16384K cma-reserved, 0K highmem)
rcu: Preemptible hierarchical RCU implementation.
rcu:    RCU event tracing is enabled.
rcu:    RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        Trampoline variant of Tasks RCU enabled.
rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies.
rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
efuse mapped to (ptrval)
slcr mapped to (ptrval)
GIC physical location is 0xf8f01000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
random: get_random_bytes called from start_kernel+0x2c4/0x498 with crng_init=0
zynq_clock_init: clkc starts at (ptrval)
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
Switching to timer-based delay loop, resolution 3ns
Console: colour dummy device 80x30
printk: console [tty0] enabled
printk: bootconsole [cdns0] disabled
Booting Linux on physical CPU 0x0
Linux version 5.10.0-xilinx-00012-g163a7d26208a (megalloid@megalloid-lenovo) (arm-linux-gnueabihf-gcc (Ubuntu 9.3.0-17ubuntu1~20.04) 9.3.0, GNU ld (GNU Binutils for Ubuntu) 2.34) #1 SMP PREEMPT Tue Jun 29 16:50:29 MSK 2021
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: xlnx,zynq-7000
earlycon: cdns0 at MMIO 0xe0001000 (options '115200n8')
printk: bootconsole [cdns0] enabled
Memory policy: Data cache writealloc
cma: Reserved 16 MiB at 0x1f000000
Zone ranges:
  Normal   [mem 0x0000000000000000-0x000000001fffffff]
  HighMem  empty
Movable zone start for each node
Early memory node ranges
  node   0: [mem 0x0000000000000000-0x000000001fffffff]
Initmem setup node 0 [mem 0x0000000000000000-0x000000001fffffff]
percpu: Embedded 15 pages/cpu s32204 r8192 d21044 u61440
Built 1 zonelists, mobility grouping on.  Total pages: 130048
Kernel command line: earlycon
Dentry cache hash table entries: 65536 (order: 6, 262144 bytes, linear)
Inode-cache hash table entries: 32768 (order: 5, 131072 bytes, linear)
mem auto-init: stack:off, heap alloc:off, heap free:off
Memory: 486848K/524288K available (6144K kernel code, 248K rwdata, 1856K rodata, 1024K init, 161K bss, 21056K reserved, 16384K cma-reserved, 0K highmem)
rcu: Preemptible hierarchical RCU implementation.
rcu:    RCU event tracing is enabled.
rcu:    RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
        Trampoline variant of Tasks RCU enabled.
rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies.
rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
efuse mapped to (ptrval)
slcr mapped to (ptrval)
GIC physical location is 0xf8f01000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
random: get_random_bytes called from start_kernel+0x2c4/0x498 with crng_init=0
zynq_clock_init: clkc starts at (ptrval)
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
Switching to timer-based delay loop, resolution 3ns
Console: colour dummy device 80x30
printk: console [tty0] enabled
printk: bootconsole [cdns0] disabled
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 1024 (order: 0, 4096 bytes, linear)
Mountpoint-cache hash table entries: 1024 (order: 0, 4096 bytes, linear)
CPU: Testing write buffer coherency: ok
CPU0: Spectre v2: using BPIALL workaround
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100060
rcu: Hierarchical SRCU implementation.
smp: Bringing up secondary CPUs ...
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
CPU1: Spectre v2: using BPIALL workaround
smp: Brought up 1 node, 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
futex hash table entries: 512 (order: 3, 32768 bytes, linear)
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
thermal_sys: Registered thermal governor 'step_wise'
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0x(ptrval)
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 32, base_baud = 6249999) is a xuartps
printk: console [ttyPS0] enabled
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
mc: Linux media interface: v0.10
videodev: Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
FPGA manager framework
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
tcp_listen_portaddr_hash hash table entries: 512 (order: 0, 6144 bytes, linear)
TCP established hash table entries: 4096 (order: 2, 16384 bytes, linear)
TCP bind hash table entries: 4096 (order: 3, 32768 bytes, linear)
TCP: Hash tables configured (established 4096 bind 4096)
UDP hash table entries: 256 (order: 1, 8192 bytes, linear)
UDP-Lite hash table entries: 256 (order: 1, 8192 bytes, linear)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
PCI: CLS 0 bytes, default 64
Trying to unpack rootfs image as initramfs...
rootfs image is not initramfs (no cpio magic); looks like an initrd
Freeing initrd memory: 5188K
hw perfevents: no interrupt-affinity property for /pmu@f8891000, guessing.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
workingset: timestamp_bits=30 max_order=17 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  ​ 2001-2006 Red Hat, Inc.
io scheduler mq-deadline registered
io scheduler kyber registered
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac:        DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
brd: module loaded
loop: module loaded
libphy: Fixed MDIO Bus: probed
CAN device driver interface
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 33 (2a:9d:8e:81:de:d2)
e1000e: Intel(R) PRO/1000 Network Driver
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
i2c /dev entries driver
cdns-wdt f8005000.watchdog: Xilinx Watchdog Timer with timeout 10s
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
ledtrig-cpu: registered to indicate activity on CPUs
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at (ptrval), irq=46
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
fpga_manager fpga0: Xilinx Zynq FPGA Manager registered
mmc0: SDHCI controller on e0100000.mmc [e0100000.mmc] using ADMA
NET: Registered protocol family 10
Segment Routing with IPv6
sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
NET: Registered protocol family 17
can: controller area network core
NET: Registered protocol family 29
can: raw protocol
can: broadcast manager protocol
can: netlink gateway - max_hops=1
Registering SWP/SWPB emulation handler
of-fpga-region fpga-full: FPGA Region probed
of_cfs_init
of_cfs_init: OK
ALSA device list:
  No soundcards found.
RAMDISK: gzip image found at block 0
mmc0: new high speed SD card at address b368
mmcblk0: mmc0:b368 00000 1.83 GiB
 mmcblk0: p1
using deprecated initrd support, will be removed in 2021.
EXT4-fs (ram0): mounting ext2 file system using the ext4 subsystem
EXT4-fs (ram0): mounted filesystem without journal. Opts: (null)
VFS: Mounted root (ext2 filesystem) on device 1:0.
Starting rcS...
++ Mounting filesystem
mount: mounting /dev/mmcblk0p1 on /mnt failed: No such file or directory
mount: mounting /dev/mmcblk0 on /mnt failed: No such file or directory
++ Setting up mdev
/etc/init.d/rcS: line 12: can't create /proc/sys/kernel/hotplug: nonexistent directory
++ Starting telnet daemon
++ Starting http daemon
++ Starting ftp daemon
++ Starting ssh daemon
random: sshd: uninitialized urandom read (32 bytes read)
rcS Complete
zynq>

Теперь попробуем поморгать светодиодом через sysfs из userspace загруженного Linux!

zynq> ls /sys/class/gpio/
export        gpio1023      gpio905       gpiochip1023  gpiochip905   unexport

zynq> echo 1023 > /sys/class/gpio/export
zynq> echo out > /sys/class/gpio/gpio1023/direction
zynq> echo 0 > /sys/class/gpio/gpio1023/value
zynq> echo 1 > /sys/class/gpio/gpio1023/value

С помощью записи 0 или 1 в значение GPIO-ножки - мы переключаем его состояние. Задачу со светодиодом можно считать выполненно!

Проверим работает ли остальная периферия. Посмотрим объем оперативной памяти, должно быть 512 Мбайт:

zynq> free
             total         used         free       shared      buffers
Mem:        508420        30036       478384            0          432
-/+ buffers:              29604       478816
Swap:            0

Примонтируем microSD-карту и посмотрим ее содержимое:

zynq> mkdir /mnt/mmc
zynq> mount /dev/mmcblk0p1 /mnt/mmc
zynq> ls /mnt/mmc/
BOOT.bin           uImage             uramdisk.image.gz
devicetree.dtb     uboot.env
zynq>

И последним шагом проверим, работает ли Ethernet. Подключим плату кабелем к роутеру и попробуем получить доступ к плате по SSH. При выполнении команды ifconfig мы наблюдаем пустой результат.

zynq> ifconfig

Выведем все доступные сетевые интерфейсы. Видим сетевой интерфейс есть, просто он не настроен.

zynq> ifconfig -a
eth0      Link encap:Ethernet  HWaddr 2A:9D:8E:81:DE:D2
          BROADCAST MULTICAST  MTU:1500  Metric:1
          RX packets:0 errors:0 dropped:0 overruns:0 frame:0
          TX packets:0 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:0 (0.0 B)  TX bytes:0 (0.0 B)
          Interrupt:33 Base address:0xb000

lo        Link encap:Local Loopback
          LOOPBACK  MTU:65536  Metric:1
          RX packets:0 errors:0 dropped:0 overruns:0 frame:0
          TX packets:0 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:0 (0.0 B)  TX bytes:0 (0.0 B)

sit0      Link encap:IPv6-in-IPv4
          NOARP  MTU:1480  Metric:1
          RX packets:0 errors:0 dropped:0 overruns:0 frame:0
          TX packets:0 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:0 (0.0 B)  TX bytes:0 (0.0 B)

Что ж, настроим его руками.

zynq> ifconfig eth0 192.168.2.123 netmask 255.255.255.0 up

macb e000b000.ethernet eth0: PHY [e000b000.ethernet-ffffffff:00] driver [RTL8211E Gigabit Ethernet] (irq=POLL)
macb e000b000.ethernet eth0: configuring for phy/rgmii-id link mode
ifmacb e000b000.ethernet eth0: Link is Up - 1Gbps/Full - flow control off
IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready

Наблюдаем сообщения о том, что Ethernet Link поднялся. Проверим это снова через программу ifconfig:

zynq> ifconfig
eth0      Link encap:Ethernet  HWaddr 2A:9D:8E:81:DE:D2
          inet addr:192.168.2.123  Bcast:192.168.2.255  Mask:255.255.255.0
          inet6 addr: fe80::289d:8eff:fe81:ded2/64 Scope:Link
          UP BROADCAST RUNNING MULTICAST  MTU:1500  Metric:1
          RX packets:0 errors:0 dropped:0 overruns:0 frame:0
          TX packets:6 errors:0 dropped:0 overruns:0 carrier:0
          collisions:0 txqueuelen:1000
          RX bytes:0 (0.0 B)  TX bytes:540 (540.0 B)
          Interrupt:33 Base address:0xb000

Раз интерфейс в статусе UP - значит интерфейс работает и можно пинговать роутер:

zynq> ping 192.168.2.1
PING 192.168.2.1 (192.168.2.1): 56 data bytes
64 bytes from 192.168.2.1: seq=0 ttl=64 time=0.649 ms
64 bytes from 192.168.2.1: seq=1 ttl=64 time=0.568 ms
64 bytes from 192.168.2.1: seq=2 ttl=64 time=0.290 ms
64 bytes from 192.168.2.1: seq=3 ttl=64 time=0.293 ms
^C
--- 192.168.2.1 ping statistics ---
4 packets transmitted, 4 packets received, 0% packet loss
round-trip min/avg/max = 0.290/0.450/0.649 ms

Ответ от роутера есть. Попробуем подключиться с компьютера к плате через SSH:

Со стороны платы видим сообщения от sshd. Сеть работает.

zynq> random: sshd: uninitialized urandom read (32 bytes read)
random: sshd: uninitialized urandom read (32 bytes read)

Подведем итог

На этом все поставленные перед нами задачи можно считать выполненными. Подведем небольшой итог к этому, достаточно большому, уроку.

В этом уроке мы:

  • сконфигурировали PS-часть и настроили подключаемую к ней периферию;

  • провели базовую настройку AXI Interconnect, GPIO-модуля и подключили к нему светодиод D4, который подключен к ножкам ПЛИС;

  • проверили работоспособность этой конфигурации с помощью простого baremetal-приложения;

  • собрали загрузчик первого уровня (FSBL); 

  • скомпилировали Device Tree Source под нашу плату;

  • скомпилировали U-Boot для загрузки ядра Linux;

  • скомпилировали Linux Kernel версии 5.10;

  • настроили загрузку Linux Kernel ри помощи U-Boot с microSD-карты;

  • проверили работоспособность всей необходимой нам периферии;

  • проверили, что мы можем управлять светодиодом через sysfs;

До встречи в следующих статьях! =)

Tags:
Hubs:
If this publication inspired you and you want to support the author, do not hesitate to click on the button
Total votes 18: ↑18 and ↓0+18
Comments17

Articles