Как стать автором
Обновить

Новые интерфейсы, шаг в 16 нм и поддержка до 2040 года. Анонсирован новый FPGA линейки Spartan

Время на прочтение3 мин
Количество просмотров2.3K


Компания AMD порадовала публику очередным релизом. На этот раз речь пойдет не об основных и привычных продуктах, хотя компания активно разрабатывает и улучшает архитектуры Zen и Instinct. При этом AMD акцентирует внимание на продвижении и развитии промышленных технологий, унаследованных от Xilinx: AMD объявила о запуске новой линейки FPGA под названием Spartan UltraScale+, которая призвана заменить предшествующие серии Spartan 6 и Spartan 7.

Эти новые программируемые логические интегральные схемы (ПЛИС) отличаются от прочих решений энергоэффективностью и довольно доступной ценой. По сравнению с предыдущими поколениями, Spartan UltraScale+ обеспечивает большую плотность за счет использования 16-нанометрового производственного процесса и предлагает множество новых функций и возможностей.
Читать дальше →
Всего голосов 1: ↑1 и ↓0+1
Комментарии2

Intel перезапустила компанию Altera по производству ПЛИС и обновила линейку программируемых чипов Agilex

Время на прочтение2 мин
Количество просмотров3.7K

В конце февраля 2024 года Intel перезапустила на рынок компанию Altera по производству ПЛИС (field-programmable gate array, FPGA) и представила обновлённую линейку программируемых чипов под названием Agilex, включая Agilex 9, Agilex 7 F-серии и I-серии, Agilex 5 и Agilex 3.

Читать далее
Всего голосов 3: ↑3 и ↓0+3
Комментарии5

Инженер представил прототип видеоадаптера FuryGpu на базе ПЛИС Xilinx Zynq UltraScale+

Время на прочтение2 мин
Количество просмотров4.5K

Инженер Дилан Барри разработал прототип самодельного видеоадаптера под названием FuryGpu на базе ПЛИС Xilinx Zynq UltraScale+. Он представляет собой отдельную плату, подключаемую к ПК через интерфейс PCIe. 

Читать далее
Всего голосов 23: ↑23 и ↓0+23
Комментарии4

Автор WaveDrom, он же инженер SiFive — на Школе Синтеза Цифровых Схем в субботу

Время на прочтение1 мин
Количество просмотров2.2K

В субботу 23 декабря, в полдень по Москве, на Школе Синтеза Цифровых Схем будет особый гость - Алексей Чепыженко, инженер родом из Беларуси (начинал карьеру в Интеграле), который ныне работает в SiFive (одном из лидеров RISC-V движения). Но широкой публике Алексей больше всего известен как автор WaveDrom - единственного по человечески написанного редактора временных диаграмм за полвека существования тулов такого рода.

WaveDrom используют все - от больших компаний до студентов (мне только на этой неделе диаграмму на WaveDrom прислал индийский студент, которого я скринил для интервью в Самсунге). Для слушателей Школы Синтеза Алексей — наглядный пример, что даже один индивидуал с хорошим вкусом и здравым смыслом может сделать популярный EDA tool (EDA = Electronic Design Automation). Не надо никаких миллионных вложений венчурных инвесторов и нанимаемых за стотысяч долларов маркетолог-консультантов - ибо тул продает себя сам и пролазит в том числе в плагины к VS Code.

После выступления Алексея будет основная часть занятия Школы Синтеза - лекция по верификации Сергея Чусова из МИЭТ. На этой лекции Сергей расскажет про объектно-ориентированные фичи SystemVerilog, которые помогают структурировать тестбенч (создавать объекты для transaction driver, scoreboard итд) и работать с транзакциями (наследовать классы от базовых транзакций для лучшего менеджмента рандомизации с ограничениями например).

Присоединяйтесь к Школе Синтеза здесь, вы получите ссылку на онлайн-трансляцию. 

Читать далее
Всего голосов 9: ↑9 и ↓0+9
Комментарии10

Семинар в Москве по теме: «Разработка систем спутниковой связи с помощью модельно-ориентированного проектирования»

Время на прочтение1 мин
Количество просмотров372

11 апреля, 10:00, Онлайн

Приглашаем специалистов по системам связи на бесплатный семинар в Москве по теме: «Разработка систем спутниковой связи с помощью модельно-ориентированного проектирования» 11 апреля в 10:00

На семинаре будет показан подход к разработке современных систем спутниковой связи через комплексное моделирование, проработку сценариев использования и ускоренную реализацию на аппаратуре с помощью использования IP-ядер и автоматической генерации кода под ПЛИС и процессоры.

Подать заявку на участие
Всего голосов 1: ↑1 и ↓0+1
Комментарии0

Семинар «Разработка систем связи 5G. От моделирования до прототипирования»

Время на прочтение1 мин
Количество просмотров316

17 ноября, 10:00, Офлайн, Москва

Приглашаем разработчиков систем связи на семинар для всестороннего обсуждения вопросов построения отечественного оборудования систем связи 5G

Мероприятие призвано собрать на одной площадке всех специалистов данной тематики для обмена знаний, опыта и технологий, чтобы вооруживший последними технологиями дать быстрый старт в развитии отечественного оборудования систем связи 5G.

Читать далее
Рейтинг0
Комментарии0

Xilinx и AMD выпустили устойчивый к радиации чип для программы «Артемида-2»

Время на прочтение1 мин
Количество просмотров3.5K

Производитель микросхем Advanced Micro Devices (AMD) объявил, что программируемые вентильные матрицы (FPGA) его дочерней компании Xilinx будут использоваться в космических кораблях НАСА в рамках миссии «Артемида-2».

Читать далее
Всего голосов 13: ↑13 и ↓0+13
Комментарии2

Вебинар «Референс дизайны протоколов профессиональной радиосвязи TETRA и DMR»

Время на прочтение1 мин
Количество просмотров481

6 декабря, 10:00, Онлайн

На вебинар приглашаются разработчики систем связи и представители компаний производителей отечественного оборудования.

На вебинаре будут представлены референс дизайны протоколов профессиональной связи TETRA и DMR. Референс дизайны включают реализацию всего стека протоколов, начиная с физического уровня и заканчивая уровнем управления вызовами.

Будет продемонстрирована верификация с помощью передачи и приема данных со сторонних раций стандартов DMR и TETRA. Референс дизайны включают модели, адаптированные под генерацию HDL и Си кода, так что их легко можно использовать на различных аппаратных платформах в том числе - отечественного производства.

Читать далее
Рейтинг0
Комментарии0

Разработка цифровой аппаратуры нетрадиционным методом: Yosys, SpinalHDL, VexRiscv (ч. 2)

Уровень сложностиСложный
Время на прочтение118 мин
Количество просмотров2.2K

Это вторая и заключительная часть большой статьи. Ознакомиться с первой частью можно по ссылке.

Основная прелесть использования ПЛИС, на мой взгляд, состоит в том, что разработка аппаратуры превращается в программирование со всеми его свойствами: написание и отладка кода как текста на специализированных языках описания аппаратуры (HDL); код распространяется в виде параметризованных модулей (IP-блоков), что позволяет его легко переиспользовать в других проектах; распределенная разработка обширным коллективом разработчиков с системой контроля версий, такой же, как у программистов (Git); и, как и в программировании, ничтожно низкая стоимость ошибки.

Последнее очень важно, так как если при разработке устройства классическим методом разработчик несет вполне существенные затраты на сборку и производство изделия, и любая схемотехническая ошибка или ошибка трассировки печатной платы — это всегда выход на очередную итерацию и попадание на деньги, то при работе с ПЛИС ошибки ничтожны по своей стоимости и легко устранимы. И даже если в серийном изделии обнаруживается ошибка, то её во многих случаях можно устранить очередным апгрейдом прошивки «в поле» без замены изделия. Короче, с приходом ПЛИС разработка цифровой аппаратуры все больше и больше выглядит как программирование, а это, помимо всего прочего, существенно понижает порог вхождения в тему, и все больше программистов становятся разработчиками «железа». А новые люди, в свою очередь, приносят с собой в индустрию новые подходы и принципы.

В этой статье я хочу поделиться своим небольшим опытом «программирования» микросхем ПЛИС и тем, как я постепенно погружался в тему ПЛИСоводства. Изначально я собирался написать небольшую заметку про открытый тулчейн для синтеза Yosys. Потом — про язык SpinalHDL и синтезируемое микропроцессорное ядро VexRiscv, на нём написанное. Потом — про замену микроконтроллеров микросхемами ПЛИС на примере моей отладочной платы «Карно». Но в процессе я погрузился в историю появления Hardware Description Languages (HDL), и когда я начал писать, Остапа, как это часто бывает, понесло... В общем, получилось то, что получилось.

Продолжить погружение
Всего голосов 24: ↑24 и ↓0+24
Комментарии15

Опыт использования свободного ПО OSS-CAD-SUITE для программирования FPGA Gowin

Уровень сложностиСредний
Время на прочтение9 мин
Количество просмотров1.1K

Для программирования FPGA нужна среда проектирования. Например, при использовании микросхем ПЛИС Altera/Intel мы используем САПР Intel Quartus Prime Software. Возможно, основная ценность технологии ПЛИС даже не сами микросхемы, а программное обеспечение, которое позволяет разместить Verilog HDL / VHDL проект по логическим блокам и развести связи между ними используя заданные временные ограничения.

Возможно ли использование open source инструментов для FPGA проектирования?

Я расскажу о своем опыте использования Yosys oss-cad-suite для платы Марсоход3GW-2 на базе ПЛИС китайской компании Gowin GW1NR-LV9QN88PC6/I5. Фото платы показано выше в начале статьи.

Читать далее
Всего голосов 12: ↑15 и ↓-3+18
Комментарии5

«Импульс» в профессию инженера: какие задачи решают стажеры в YADRO

Уровень сложностиПростой
Время на прочтение7 мин
Количество просмотров2.8K

Получить опыт работы в сложной инженерной специальности сегодня проще, чем когда-либо. Герои этого материала — студенты и недавние выпускники технических вузов, которые прошли летнюю стажировку Импульс от YADRO в 2023 году и остались работать в компании. Ребята решают реальные задачи: разрабатывают внутренние сервисы, тестируют системы хранения данных и отвечают за работу платформы виртуализации.

Мы поговорили с молодыми инженерами о работе, которую они делают каждый день, о полученном опыте и о том, почему они решили продолжить карьеру именно в YADRO. 

Хотите так же? Заполняйте заявку на «Импульс»-2024 и проходите интервью. 

Читать далее
Всего голосов 9: ↑9 и ↓0+9
Комментарии15

Stopwatch implementation on FPGA board

Время на прочтение5 мин
Количество просмотров239

In this report we describe our implementation experience of a stopwatch system executing on an FPGA board. We programmed this device in the Quartus Prime II software environment by using Verilog hardware description language. The program is tailored to the Altera MAX 10 FPGA board, as well as uses a set of other peripheral devices for progress visualization purposes.

Read more
Всего голосов 1: ↑2 и ↓-1+3
Комментарии0

Сложные цепи из последовательных звеньев и параллельных шин — согласование цифрового сигнала внутри таких схем

Уровень сложностиСредний
Время на прочтение8 мин
Количество просмотров1.9K

Нет согласующих резисторов в FPGA - что мешает реализовать целый ряд схем, но зато есть чем заменить их для цифрового сигнала внутри таких схем. Пытался найти в сети альтернативу согласующему резистору для применения внутри синтезируемой схемы, поисковик выдал скромный результат поиска, содержанием которого оказалось ничего по существу - категоричное нет на всех форумах, и иногда что-то близкое, но моей задачи не решающее. Да и собственно в схеме развёрнуты две задачи - некоторый кэш нового типа и механизм управления таким кэшем, по сути - часть процессора нового типа.

Тут нужно много вникать. И если Вы не верите IDE Logisim Evolution - можете просто пройти мимо и не принимать тут изложенный материал во что-то нужное и полезное. Тем более что это в общем-то работа новичка, который просто развивает свой проект и взгляды в новых областях, сталкивается с новыми задачами и решает их новыми способами.

Читать далее
Всего голосов 5: ↑5 и ↓0+5
Комментарии14

Разработка цифровой аппаратуры нетрадиционным методом: Yosys, SpinalHDL, VexRiscv (ч.1)

Уровень сложностиСложный
Время на прочтение127 мин
Количество просмотров7.4K

Основная прелесть использования ПЛИС, на мой взгляд, состоит в том, что разработка аппаратуры превращается в программирование со всеми его свойствами: написание и отладка кода как текста на специализированных языках описания аппаратуры (HDL); код распространяется в виде параметризованных модулей (IP-блоков), что позволяет его легко переиспользовать в других проектах; распределенная разработка обширным коллективом разработчиков с системой контроля версий, такой же, как у программистов (Git); и, как и в программировании, ничтожно низкая стоимость ошибки.

Последнее очень важно, так как если при разработке устройства классическим методом разработчик несет вполне существенные затраты на сборку и производство изделия, и любая схемотехническая ошибка или ошибка трассировки печатной платы — это всегда выход на очередную итерацию и попадание на деньги, то при работе с ПЛИС ошибки ничтожны по своей стоимости и легко устранимы. И даже если в серийном изделии обнаруживается ошибка, то её во многих случаях можно устранить очередным апгрейдом прошивки «в поле» без замены изделия. Короче, с приходом ПЛИС разработка цифровой аппаратуры все больше и больше выглядит как программирование, а это, помимо всего прочего, существенно понижает порог вхождения в тему, и все больше программистов становятся разработчиками «железа». А новые люди, в свою очередь, приносят с собой в индустрию новые подходы и принципы.

В этой статье я хочу поделиться своим небольшим опытом «программирования» микросхем ПЛИС и тем, как я постепенно погружался в тему ПЛИСоводства. Изначально я собирался написать небольшую заметку про открытый тулчейн для синтеза Yosys. Потом — про язык SpinalHDL и синтезируемое микропроцессорное ядро VexRiscv, на нём написанное. Потом — про замену микроконтроллеров микросхемами ПЛИС на примере моей отладочной платы «Карно». Но в процессе я погрузился в историю появления Hardware Description Languages (HDL), и когда я начал писать, Остапа, как это часто бывает, понесло... В общем, получилось то, что получилось.

А еще эту статью можно рассматривать как глубокое погружение в то, что происходит вот на этом новогоднем видео.

Погрузиться сполна
Всего голосов 60: ↑59 и ↓1+58
Комментарии40

Симуляция высокоскоростных приёмопередатчиков с динамической реконфигурацией для ПЛИС Intel серии V

Уровень сложностиСредний
Время на прочтение5 мин
Количество просмотров1K

Этой статьей мы продолжает серию статей, цель которых поделиться опытом создания проектов в среде симуляции для тестирования динамической реконфигурации высокоскоростных интерфейсов (приёмопередатчиков) различных поколений ПЛИС фирмы Intel/Altera. В предыдущей статье мы описали IV поколение, теперь очередь "обуздать" V поколение.

Читать далее
Всего голосов 3: ↑3 и ↓0+3
Комментарии0

Симуляция высокоскоростных приёмопередатчиков с динамической реконфигурацией для ПЛИС Intel серии 10

Уровень сложностиСредний
Время на прочтение6 мин
Количество просмотров1.4K

В этой статье мы подошли к самому "свежему" поколению ПЛИС фирмы Intel, а именно 10 поколение. И теперь мы будем создавать проект в среде симуляции для Arria 10.

Напомню, что высокоскоростные приёмопередатчики - это пара RX и TX, встроенные в ПЛИС, которые позволяют преобразовать параллельную шину данных на низкой частоте в последовательную на высокой при передаче данных и из последовательной в параллельную при получении данных. Они необходимы для реализации различных протоколов передачи данных. А динамическая реконфигурация в данном случае необходима для "автосогласования" скорости работы интерфейсов, например 1 / 2,5 /10 Gb Ethernet.

Читать далее
Всего голосов 6: ↑6 и ↓0+6
Комментарии0

Митап для FPGA-инженеров и разработчиков систем на кристалле в Минске и онлайн: от верификации до запуска тестов

Уровень сложностиПростой
Время на прочтение3 мин
Количество просмотров1.5K

Привет, Хабр! 2 марта собираемся в Минске и онлайн на бесплатном митапе по FPGA, ASIC и RTL. Обсудим итеративную сборку проектов и имплементацию больших дизайнов на ПЛИС, подходы к верификации, а также систему бронирования и запуска тестов на сотнях стендов за раз.

В посте делимся программой митапа. Участие бесплатное, но нужно зарегистрироваться по ссылке.

Читать далее
Всего голосов 15: ↑14 и ↓1+13
Комментарии0

Bootstrapping Azerbaijan as a new center of ASIC design + Verilog Meetup #6 in Silicon Valley

Время на прочтение11 мин
Количество просмотров1.5K

Last week I was doing a seminar on SystemVerilog, ASIC and FPGA at ADA University in Baku, Azerbaijan. I will replicate the last two sessions of this seminar, on RISC-V CPU simulation and synthesis, at the Verilog Meetups on March 3 and March 10 at Hacker Dojo, Mountain View, California. For this reason I am combining the information about Azerbaijan and California seminars in a single post.

First, let's talk about ADA University.

Read more
Всего голосов 8: ↑7 и ↓1+6
Комментарии0

Опыт автоматизации управления FPGA-стендами для распределенной команды: отказ от готового решения и работа над ошибками

Уровень сложностиПростой
Время на прочтение14 мин
Количество просмотров2.2K

В software-разработке с автоматизацией обычно все неплохо: более-менее понятно, как настроить CI и автоматизировать отдельные этапы CI-конвейера. Есть множество готовых решений и практик. Но, когда речь заходит об автоматизации тестирования на «железе», появляется множество нюансов. Например, не всегда понятно как автоматизировать процессы, которые обычно делают люди, — банальную перезагрузку устройства в другом городе. Или другая особенность — целевые аппаратные ресурсы масштабируются не так хорошо, как софт, поэтому приходится придумывать свои подходы к распределению времени доступа к стенду для СI-конвейеров и инженеров.

Меня зовут Игорь Большевиков, я инженер по системному программированию систем на кристалле в YADRO В статье я расскажу о нашем опыте автоматизации процессов, связанных с разработкой на FPGA-стенде: удаленной загрузке плат, бронировании аппаратных ресурсов и решении вопросов по координации для распределенной команды. Я опишу ключевые этапы задачи без лишних технических деталей. Возможно, статья будет полезна тем, кто занимается или кому предстоит заняться автоматизацией работ с FPGA.

Читать далее
Всего голосов 30: ↑30 и ↓0+30
Комментарии4

Смотрим на современный инструмент для FPGA

Уровень сложностиСредний
Время на прочтение9 мин
Количество просмотров3K

Область разработки для ПЛИС, довольна консервативна и неповоротлива. Поскольку она узкоспециализирована, то новые инструменты и среды появляются редко, а старые инструменты имеют свои слабости в самой своей основе и перекладывать их на новые рельсы уже ни кто не будет. Посмотрим на новый язык и инструмент для ПЛИС разработчиков, который следует современным тенденциям разработки.

Читать далее
Всего голосов 27: ↑27 и ↓0+27
Комментарии27
1
23 ...