Хабр Курсы для всех
РЕКЛАМА
Практикум, Хекслет, SkyPro, авторские курсы — собрали всех и попросили скидки. Осталось выбрать!
module bin2tcv
#(
parameter WIDTH = 3
)
(
input wire [WIDTH-1:0] x,
output wire [WIDTH-1:0] y
);
assign y = (~x) + 1;
endmodule

Синтез комбинационных устройств на примере преобразователя из прямого кода в дополнительный