Хабр Курсы для всех
РЕКЛАМА
Практикум, Хекслет, SkyPro, авторские курсы — собрали всех и попросили скидки. Осталось выбрать!


// Smiling Snail FSM derived from David Harris & Sarah Harris
module pattern_fsm_moore
(
input clock,
input reset_n,
input a,
output y
);
parameter [1:0] S0 = 0, S1 = 1, S2 = 2;
reg [1:0] state, next_state;
// state register
always @ (posedge clock or negedge reset_n)
if (! reset_n)
state <= S0;
else
state <= next_state;
// next state logic
always @*
case (state)
S0:
if (a)
next_state = S0;
else
next_state = S1;
S1:
if (a)
next_state = S2;
else
next_state = S1;
S2:
if (a)
next_state = S0;
else
next_state = S1;
default:
next_state = S0;
endcase
// output logic
assign y = (state == S2);
endmodule
//----------------------------------------------------------------------------
// Smiling Snail FSM derived from David Harris & Sarah Harris
module pattern_fsm_mealy
(
input clock,
input reset_n,
input a,
output y
);
parameter S0 = 1'b0, S1 = 1'b1;
reg state, next_state;
// state register
always @ (posedge clock or negedge reset_n)
if (! reset_n)
state <= S0;
else
state <= next_state;
// next state logic
always @*
case (state)
S0:
if (a)
next_state = S0;
else
next_state = S1;
S1:
if (a)
next_state = S0;
else
next_state = S1;
default:
next_state = S0;
endcase
// output logic
assign y = (a & state == S1);
endmodule
Другое (пояснить в комментариях)

С одной стороны, спасибо за книгу.
С другой стороны, книге не хватает хорошего редактора/корректора. Очень много мелочей для издания такого уровня. Начиная от постоянных отсылок к выделению синим цветом (хотя вся книга выпущена в чб) и заканчивая смысловыми опечатками в формулах. Перепутанные рисунки. Отсутствующий рисунок на который идет отсылка в тексте. Тире, которое не соответсвует правилам русской типографики.
Избранные места из популярного учебника микроэлектроники на русском, который наконец-то выходит на бумаге