Как стать автором
Обновить

Второй номер народного FPGA журнала! «Погоди-ка, а когда был анонс первого?»

Уровень сложностиПростой
Время на прочтение4 мин
Количество просмотров4.1K

ПЛИС-культ привет, FPGA хабрунити.

На днях состоялся анонс уже второго номера народного FPGA журнала
FPGA-Systems Magazine :: № BETA (state_1). В нем 200+ страниц и 20+ статей и небольших заметок по направлениям FPGA / RTL / Verification (ПЛИС сегодня это не только про знание HDL и Vivado c Quartus'ом). Журнал бесплатный и распространяется в виде pdf-ки.

Зачем? Есть же хабр!

Хабр был, хабр есть и хабр будет. Но, к моему сожалению, хаб FPGA является не самым популярным тредом, и статейки здесь появляются не так часто. Я же решил попробовать сделать некий сборник, который будет стрелять 2-4 раза в год, куда смогут написать не только полноценные туториалы, но и маленькие заметки по работе с FPGA / RTL / Verification все желающие.

Есть небольшая надежда, что это как-то мотивирует уважаемых инженеров писать чуть больше в русскоязычном сегменте о программируемой логике и всем, что с ней связано.

В какой-то степени это работает. Первый номер был в 169 страниц, а объем второго перевалил за 200. И кажется, такой формат вполне заходит. Радует то, что авторы могут выложить свои статьи потом куда угодно, в том числе и на хабр. Каких-либо авторских ограничений и прочей чуши мы не приветствуем, мы за популяризацию программируемой логики любыми возможными способами.

Мы не ограничиваем авторов в выборе темы (вот тут около 100+ тем, которые могут быть интересны читателям FPGA направления), не требуем ни от кого придерживаться стандартов публикации и тд. Лишь бы вы творили, писали статьи и делились ими с комьюнити. Поэтому в журнале могут быть очепятки, недостатки и тд (душнил, которые скажут, что так не делают, просьба проветрить помещение😊).

На создание первого номера ушло примерно три года безуспешных попыток мотивировать ПЛИСоводов на создание подобного издания, и, к счастью, они оказались небезуспешными. Внутри журнала вы найдете статьи как для совсем начинающих свой путь в программируемую логику, так и для опытных ПЛИСоводов, RTLщиков и верификаторов.

Журнал доступен всем, кто умеет нажимать на кнопку «скачать». Писать в журнал могут все желающие, присланные статьи автор может разместить после выхода журнала на любом ресурсе, а может и раньше выхода номера – это остается на усмотрение автора.

Всю информацию о журнале и скачать его вы сможете на страничке

Содержание номера ALFA
  • Аналитика

    • Панчул Юрий. Что умеют и не умеют писать на SystemVerilog для ASIC и FPGA американские студенты?

    • Хлуденьков Александр. Реализация нейронных сетей на FPGA

  • Начинающим

    • Солодовников А.П. FPGA 101

    • Балакший Сергей.  Зажигаем светодиод процессором j1

  • Туториал

    • Куренков Константин. Работа с DPI.

    • Аверченко А.П. Простое вхождение в цифровую схемотехнику с DEEDS

  • Исследования

    • Бибило П.Н. Минимизация алгебраических представлений систем булевых функций при синтезе схем модулярных сумматоров и умножителей

    • Соловьев В.В. Стили и способы описания конечных автоматов на языках Verilog и SystemVerilog

    • Мальчуков А.Н. Разница восприятия САПР QUARTUS языков SystemVerilog и VHDL и разница между VIVADO

    • Алексеев К.Н., Сорокин Д.А. Оптимизация вычислительных структур под архитектуру ПЛИС XILINX

  • Реализация

    • Попов М.А., Романов А.Ю.Реализация видеовывода сверхвысокой четкости на микросхемах семейства Zynq-7000

    • Афанасьев Никита. Реализация интерполятора на платформе SDR Pluto+

    • Гуров В.В. PYNQ для систем-на-кристалле на примере реализации множества Мандельброта

    • Коробков М.А. Умножай эффективно. Алгоритм Карацубы. Прямая реализация.

    • Борисенко Н.В. Мост сопряжения внутрикристального системного интерфейса AMBA APB4 с интерфейсом стыка простого исполнителя STI 1.0

    • Сухачев К.И. Многоканальное устройство записи (МУЗА_4К10М1)

    • Минаев Александр. Реализация передатчика MIPI CSI-2 на GOWIN GW2A с подключением к Raspberry PI

    • Бортников А.Ю.Реализация Avalon-MM Master в виде конечного автомата на VHDL

    • Кашпурович В.В. Интеграция гигабитного последовательного интерфейса на основе стандарта JESD204B: расширение горизонтов передачи данных в ПЛИС

    • Мыцко Е.А.  Аппаратная реализация на ПЛИС свёрточных нейронных сетей для семантической сегментации снимков леса

  • Tips & Tricks

    • Пузанов Николай. Об использовании фильтров в GTKWave

    • Коробков Михаил. set set set; #это не только легально, но и полезно

    • Кашканов Артём. Verilator – многофункциональный инструмент эмуляции и тестирования Verilog-кода.

  • Tutorial

    • Théophile Loubière. Simple VGA tutorial with Chisel

Содержание номера BETA
  • Обзор

    • Попов М. А., Романов А. Ю. Versal... Как много в этом слове!

    • Малышев Никита. Развитие отечественных САПР проектирования микроэлектроники на платформе Delta Design

    • Гнитеев Николай. Интерактивный HDL

    • Заостровных Андрей. Обзор отладочной платы ALINX AXU15EGB

    • Графов М.В. Отладочная плата ПИР СЦХ-254 «Карно»

  • Начинающим

    • ​​​​​​​Романова И.И., Зунин В.В., Маршутина Е.Н., Американов А.А., Романов А.Ю. DESim: как изучать проектирование на ПЛИС без отладочной платы 

    • Хлуденьков А. Н. Давайте создадим процессор! Step by Step.

    • Аверченко А. П. Шинная организация сигналов в DEEDS

    • Харабадзе Д.Э. “Бегущие огни” на ATF22V10

    • Коробков Михаил. Сдвиговый регистр или то, о чем не расскажут в статьях для начинающих :: атрибуты синтеза

  • Реализация

    • Сонин А.П., Хромцев А.В., Свирин Д.М. DRFM на основе ПЛИС Virtex-7 для тестирования радиолокаторов с синтезированной апертурой антенны​​​​​​​

    • Кузнецов Данила. Реализация IQ-модулятора для ПЛИС

    • Погодаев А.А. Абрамов А.Е. Загрузка драйвера UEFI, с помощью ПЛИС

    • Кудинов Максим. Игра в Pong на SystemVerilog

  • Исследования

    • Мальчуков А.Н. if if’у рознь. QUARTUS vs VIVADO. SystemVerilog vsVHDL

    • Пузанов Николай. Быстрое вычисление медианы в целых числах

  • Туториал

    • ​​​​​​​​​​​​​​Сергей Б. Испытательный стенд с использованием YosysHQ MCY

    • Гуров В.В. Опенсорс для ПЛИС... и наоборот

    • Белоусов Олег. Buildroot это просто

    • Мангушев Александр Вячеславович. Подключение физического устройства, размещенного на ПЛИС в симулятор QEMU при помощи Ethernet

    • Балакший Сергей. Шаблон проекта испытательного стенда с использованием Yosys, Verilator, Icarus Verilog

  • Tips & tricks

    • ​​​​​​​Кудинов Максим. Verilator как linter в Neovim

    • ​​​​​​​Туровский Дмитрий Николаевич. Заметки ПЛИСовода

Теги:
Хабы:
Если эта публикация вас вдохновила и вы хотите поддержать автора — не стесняйтесь нажать на кнопку
+64
Комментарии30

Публикации

Истории

Ближайшие события

Summer Merge
Дата28 – 30 июня
Время11:00
Место
Ульяновская область