Как стать автором
Обновить
2
0

Пользователь

Отправить сообщение

ПЛИС это просто или АЛУ своими руками

Время на прочтение4 мин
Количество просмотров23K
На Хабре достаточно много статей посвященных микроконтроллерам и языкам программирования на них, но не часто можно встретить статьи о программировании ПЛИС. Прошивки для ПЛИС можно писать на языках описания аппаратуры или рисовать схемы в САПРах фирм, производящих ПЛИС. Сегодня я хочу показать как можно запрограммировать АЛУ на ПЛИС фирмы Actel A3P250 (ProASIC 3 с числом эквивалентных вентилей 250 000) на языке описания аппаратуры SystemVerilog. Данная ПЛИС интересна тем, что позволяет прототипировать заказные микросхемы ASIC или БМК. Прошивки, которые синтезируются для данной ПЛИС можно превратить с серийную микросхему.

Кому интересно добро пожаловать под кат.
Читать дальше →
Всего голосов 13: ↑12 и ↓1+11
Комментарии14

Информация

В рейтинге
Не участвует
Зарегистрирован
Активность