Хабр Курсы для всех
РЕКЛАМА
Практикум, Хекслет, SkyPro, авторские курсы — собрали всех и попросили скидки. Осталось выбрать!

etest :: Either Bool (Bool,Bool) -> Bool -> ((Either Bool (Bool,Bool)), Bool)
etest (Left a) b = ((Right (a,b)), a)
etest (Right (x,y)) b = ((Left b),(x `xor` y))
topEntity = mealy etest (Left True)
// Automatically generated SystemVerilog-2005
module E_mealy(w2
,// clock
system1000
,// asynchronous reset: active low
system1000_rstn
,result);
input logic [0:0] w2;
input logic system1000;
input logic system1000_rstn;
output logic [0:0] result;
logic [0:0] y;
E_types::Tup2 result_0;
logic [2:0] x;
logic [2:0] x_app_arg;
logic [2:0] x_0;
assign result = y;
assign y = result_0.Tup2_sel1;
E_etest E_etest_result_0
(.result (result_0)
,.ds (x)
,.b (w2));
// register begin
logic [2:0] dout;
always_ff @(posedge system1000 or negedge system1000_rstn) begin : E_mealy_register
if (~ system1000_rstn) begin
dout <= {1'b0,1'b1,1'b0};
end else begin
dout <= x_app_arg;
end
end
assign x = dout;
// register end
assign x_app_arg = x_0;
assign x_0 = result_0.Tup2_sel0;
endmoduleМинуточку, мне всегда казалось что данная ниша была за Си только благодаря ручному контролю за использованием памяти. Но ведь если в данной сфере переходить на функциональные языки то этот контроль будет потерян. Или тут все дело в хитрой транслитерации с <Мой-любимый-фя> на Си код? Поясните пожалуйста данный момент. Спасибо.
коммерческий Bluespec
Выложили исходники компилятора: https://github.com/B-Lang-org/bsc + документацию https://github.com/BSVLang/Main + примеры https://github.com/bluespec
https://bluespec.com/2020/01/06/bluespec-inc-to-open-source-its-proven-bsv-high-level-hdl-tools/
Функциональные языки в разработке аппаратуры