Pull to refresh
0
0
Send message
они блочили акк за удаленное из корзины сообщение, и поданное заново. при снятии с публикации объява остается в личном кабинете. удалили ее оттуда окончательно, сделал новую объяву. через какое то время прилетает бан — они восстановили удаленное сообщение, сделали его активным (опубликованным) и забанили за нарушение правил сообщества — подачу повторного объявления
люди спать ложаться, видосики с телефона смотрят. к 23-24 засыпают
материал из смежной области. прочитал с удовольствием — для общего развития самое то
при этом и программист и электронщик понимает принцип работы и особенности поведения «элементарных частиц» этих больших блоков, с которыми они работают. в смысле библиотек и микросхем. хороший программист так и вообще должен знать как каждый оператор языка выполняется на железе — за сколько тактов, откуда берутся операнды, куда складываются результаты, итд итп. чтобы писать максимально функциональный, быстродействующий и наименее ресурсоемкий код. к сожалению таких специалистов сейчас очень мало даже в чистом программировании… что уже говорить о том, когда прогер лезет в сферу железа

и таки как раз наоборот — разделение труда максимально убирается. программист может стать фпга дизайнером, не вникая в суть работы плис. за счет использования готового конструктора. качество работы при этом страдает, но тут уже все зависит от конкретных задач и ресурсов — приемлимо это в данной ситуации или нет. в большинстве случаев приемлимо. и как результат — все бОльшая деградация — и так сойдет. работает же…

зы
таки мы тоже используем корки, сокращая время проектирования. но мы при этом можем их оптимизировать и нещадно выпиливаем всю лишнюю лабуду, впустую жрущую ресурсы. и понимаем почему не надо заводить кнопку с пульта напрямую на логику. итд итп
может я действительно выеживаюсь… индустрия как раз и идет к тому, чтобы предоставлять конечному пользователю конструктор из КОРок, из которых он может собрать готовое изделие. но при этом всем:
А — за все эти корки надо платить
Б — при малейшем отклонении от стандартного поведения корки все вылетает в трубу. ЯВУшник максимум напишет разработчику о проблеме и будет ждать «патча»
В — вся работа по разводке, опять же, отдается на откуп компиляторам-синтезаторам. конечный результат при этом сильно страдает
Г — вы зависите от чужого дяди, который завтра может вам просто не продать эту корку. а свой дядя уже либо отвык что то делать сам, либо вообще не знал что к чему

может оно и хорошо что «порог вхождения» ФПГА снижается, но мне за этим всем, повторюсь, видится вырождение инженерной школы. вот от этого страшно, что становимся на путь западных партнеров, у которых нет фантазии-квалификации, но есть деньги чтобы компенсировать их отсутствие (более мощным-дорогим железом), либо же просто нанять специалиста, у которых они есть. а наши инженеры умели выжимать максимум из того что имели :)
использование ФПГА подразумевает задачи чуть более серьезные, нежели мигание диодами. такие, с которыми не справятся и микрухи.

чуть выше кто то хотел использовать плис для решения систем линейных уравнений, но не смог — не «хватило» пинов. условно «программист» хотел что то сделать на языке высокого уровня и не смог — уткнулся в банальное непонимание как можно организовать прием-хранение-коммутацию данных. ну и последующее сохранение-выдачу. он не может запрограммировать решение своей задачи на ЯВУ? конечно может. но по факту все, на что он способен без схемотехники и хдл языков — мигание диодами. НОРМАЛЬНО нормальную задачу он не решит никогда

я об этом говорил, говорю и буду говорить — все попытки зайти в ФПГА с ЯВУ это вырождение инженерной школы как таковое. не понимая как работает инструмент, программист будет забивать гвозди микроскопом. забьет? забьет. только выхлоп со всего этого действия какой?
никогда с программирования высокого уровня нельзя будет нормально войти в ФПГА. этого нельзя сделать не зная схемотехники в целом и особенностей конкретных ПЛИС. максимум вот такая развлекуха со светодиодами или нечто подобное.
т.е. в том что синтезатор схавал 2 источника сигнала виновата плохая структура кода и плохая архитектура проекта? по-моему дальнейший диалог не имеет смысла
чистый vhdl. сигнал объявлен как signal xxxx: std_logic;
кстати, свежий пример от вивады, на откуп (в том числе) которой предлагается отдавать львиную долю работы — убито 2 рабочих дня 3 инженеров на вычисление элементарной ошибки — два источника для одного сигнала. собирающий топ-лвл скопипастил блок и в одном месте не переименовал сигнал. и вивада схавала — ни одного ворнинга/еррора. схавали симуляторы — ни одной неопределенки при моделировании. а вот при отладке в железе начались чудеса…
агрессивно нападать? это где я нападал? примеры можно?

под одну гребенку? тут да, согласен — далеко не все наши инженеры образцовые специалисты.

все умеют находить компромисс? тоже согласен — правильный компромисс все умеют найти. только какие критерии для сравнения этих компромиссов? решение поставленной задачи? не подходит. а вот тактико-технические характеристики в проекции на затраты по их достижению — вот это уже ближе. и что то мне подсказывает что тут поле безоговорочно остается за нашими инженерами.
кстати, зря не призываете )). плодить сущности и потом мудохаться с прокидыванием сигналов между блоками… это говорю как человек, который собирал итоговый проект для тестирования и отладки )). приходилось сталкиваться с крайностями — и с тем что внутри одного небольшого устройства чуть ли не каждый счетчик был вынесен в отдельный блок, и с тем что большое устройство (процессор, памяти, алу, блоки управления, итд итп) было описано одним куском кода — тупо подряд куча процессов, хрен пойми что откуда и куда идет, на что влияет и по какому принципу вообще этот сигнал назван.

сам придерживаюсь золотой середины — по минимум крупных блоков, собраных на топ-лвл, и в пределах одного блока все устройства работающие на 1 частоте описаны в одном процессе. логически разбиты на отдельные блоки (интерфейсные части, области управления, делители частоты, итд), снабжены понятными комментариями (начало-конец блока, назначение, пояснения по управляющим сигналам — что откуда и куда), но описаны все в одном месте
хммммм, только сейчас обратил внимание на ваше фио. на форуме электроники вроде есть персонаж с такими же. не вы, случаем ))?

Есть разные рынки. Иногда время выхода продукта на рынок определяет все дальнейшие продажи. Приборы на этом рынке очень дорогие, а продажи очень маленькие и потому совершенно не важно сколько вы потратили на плис 400 долларов или 1000

все верно. но можно же потратить не 1000 и не 400, а, к примеру, 100 :). и уложиться в требования и дополнительно навариться.

Также не надо забывать вопросы прототипирования и макетирования, проверили идею, а потом отдали на вылизывание и оптимизацию профессионалам.

покупать для обкатки тестового проекта плис за космические деньги… разумный подход :). конечно, если у вас таких идей в голове море, и все перспективные, и есть кому это потом спихивать для натягивания совы на глобус, то да — есть смысл 1 раз купить хорошую отладочную плату за хорошие деньги

в общем в чем в целом мне не понравилось в том что вы пропагандируете… русские инженеры всегда отличались умением слепить из г… на пулю, и выжать из минимального набора материалов максимальный результат. именно поэтому наше оружие самое эффективное, самое надежное и самое недорогое. а тот подход что вы пытаетесь оправдать — используют наши западные партнеры. пофиг на все, у нас есть технологии и бабки — мы можем себе позволить компенсировать непрофессионализм своих инженеров тоннами бабла. нужна нам частота 150, но из-за кривого проекта потолок 50? пофигу, чтобы успеть обработать поток данных сопоставимый со 150 мегагерцами, поставим 3 плисины по 50. и еще одну впендюрим — чтобы коммутировать потоки

в процессе прочтения статьи почему то вспомнилось высказывание — физик-ядерщик легко научится штукатурить, но вот штукатур никогда не запустит ядерный реактор. так и тут — «железячник» легко станет программистом, программист врядли станет «железячником».

автор предлагает за недостаток опыта платить — плохо написан код, синтезатор плохо уложит все в кристалл, никогда не получатся хорошие частоты в проекте. чтобы компенсировать это, прийдется покупать более дорогие плисины, с большим запасом по необходимым ресурсам. а хорошая плисина это не микруха за 5 рублей.

и таки да, чтобы синтезатор выдал хороший результат — его надо очень тонко настраивать. а это еще бОльший порог вхождения, нежели обладание «схемотехническим» складом ума. более того — и хороший программист должен быть «схемотехником». без знания того, как выполняется каждый конкретный оператор, откуда берутся операнды, сколько на это тратиться тактов и времени — невозможно писать хороший, быстрый код. но таких программистов еденицы. гораздо больше тех кто тупо накидывает операторы, а компилятор там сам разберется что и куда запихнуть. такие программисты часто заканчивают в макдональдсе на свободной кассе :)

Information

Rating
Does not participate
Registered
Activity