Pull to refresh
63
0.2

Пользователь

Send message

Вы лучше спросите, как ловят разных странных личностей, которые пытаются договориться с ВСУ о всякой незаконной деятельности.

По отпусканию срабатывают комбинации состоящие только из Ctrl, Alt, Shift, Win и т.п. Пока нажали и держите Ctrl-Shift - ничего не происходит. Чтобы была возможность нажать Ctrl-Shift-F, к примеру. Начали отпускать - срабатывает Ctrl-Shift.

Это касается только специальных клавиш. При нажатии на обычную и происходит срабатывание. Либо при отпускании комбинации специальных. Как раз для того, чтобы решить все вот эти проблемы.

Очень точно. "Исследования и прогнозы - ни*** непонятно"

Поэтому лучше бы сделать модель для контры (или во что там сейчас молодежь гоняет), чтобы отрабатывать тактику защиты от террористов.

Я как-то пытался купить удлинители аудиокабеля через витую пару. С одной стороны audio 3.5, с другой - мама 8p8c. Как бы сделал нормальный человек - каждый аудиоканал подключил на свою пару и пустил бы по второй половине пар землю. Китайцы просто подали 3 контакта с аудиоджека на разные пары, типа 1-3-5 контакты. Толку от такого удлинителя для реального кабеля лежащего в стенах - никакого.

Они оттрасированный дизайн в json хранят? Интересно посмотреть на внутренности, можете выложить (output/out-pnr.json )?

Сколько там лет назад Голунова чудом не посадили?

А в случае отказа от медосвидетельствования просто забиваем его жезлами. Т.к. права не отобрать.

Программисты уже радостно потирают руки - сколько работы у них будет с массовым внедрением принципиально новых архитектур.

А вы посмотрите на современную "военку". Воевать 15МГц против 2ГГц системы на кристалле - это как идти в штыковую атаку на роту пулеметчиков.

Вспомнилось "Жир просто уходит!" (Doctor Who S04E01)

Как раз недавно обновил версию либры и ушли дикие тормоза при открытии-сохранении больших таблиц. То что раньше требовало почти минуты - теперь секунды две.

Давеча захотел себе купить шило в форм-факторе шестигранной биты. И не нашел - нет таких. Может кто встречал?

Если не смотреть на полдела, то в конце покойника намажут и будет как живой. См. экспертиза скрытых работ.

Просто хочу поделиться внезапным (для себя) открытием: пингу можно указать (ключ -I ) какой физический интерфейс использовать независимо от адреса узла назначения.

Видимо как-то так:

use ieee.numeric_std.all;

...

architecture rtl of Ripple_Adder is

signal sum : unsigned(4 downto 0);

begin

sum <= unsigned('0' & A) + unsigned('0' & B) + unsigned("0000" & Cin);

S <= std_logic_vector(sum(3 downto 0));

Cout <= sum(4);

end rtl;

Если не нравятся кастинги - можно

  1. использовать пакет numeric_std_unsigned, но лично я его идейно не принял;

  2. std_logic_vector в entity заменить на unsigned, но это вопрос согласования типов интерфейсов в проекте.

Кто не рискует - тот не отдыхает в больнице!

Когда автор то ли сам не понимает, то ли намеренно лжетвводит в заблуждение в самом начале, как-то читать дальше становится не интересно. Verilog действительно более лаконичен, но приведенные примеры на рис 4-5 используют разные методики описания, сравнивать которые по объему бессмысленно.

1
23 ...

Information

Rating
1,849-th
Location
Санкт-Петербург, Санкт-Петербург и область, Россия
Registered
Activity