Провели семинар по процессору на ПЛИС MIPSfpga в Национальном исследовательском университете «Московский институт электронной техники» ( ( bit.ly/mipsfpga_russia_2015_flier ) ). Получилось хорошо, уровень слушателей довольно высокий. Помимо меня, докладчика от Imagination Technologies, был докладчик из Макро Групп и MathWorks. Александр Филиппов из Макро Групп, официального представителя Xilinx в России, рассказал о Artix-7, Kintex-7 и UltraScale FPGA. Михаил Песельник, докладчик от MathWorks, рассказал о модели single-cycle реализации подмножества архитектуры MIPS в среде Simulink. Забыл попросить кого-нибудь меня сфотографировать, но остальные докладчики на фото ниже. Сегодня будет такой же семинар в МГУ, завтра в МИФИ.

Презентации использовавшиеся во время доклады от Imagination: panchul.com/dropbox/2014_10_26/presentations

Основной код для лабораторных работ: github.com/MIPSfpga/mipsfpga-plus
























Соединения плат и создание проекта в Xilinx Vivado: