Как стать автором
Обновить
13
0
Денис @Kingit

Пользователь

Отправить сообщение

Подключение периферийных модулей к MIPSfpga, на примере ультразвуковых датчиков расстояния

Время на прочтение10 мин
Количество просмотров9.1K
Доброго времени суток. В этой статье расскажу как интегрировать модули, на примере двух ультразвуковых датчиков HC-SR04 и Pmod MAXSONAR, в систему на кристалле на основе MIPSfpga. Также расскажу как написать программу для управления подключенных модулей.

Основываясь на моем примере вы сможете подключить ваши собственные модули, управлять ими при помощи программы. Создавать систему со своим набором периферии, на основе MIPSfpga.


Читать дальше →
Всего голосов 37: ↑36 и ↓1+35
Комментарии13

Информация

В рейтинге
Не участвует
Откуда
Киев, Киевская обл., Украина
Зарегистрирован
Активность