Pull to refresh
345
30.3
Юрий Панчул / Yuri Panchul @YuriPanchul

Проектировщик CPU, GPU, сетевых микросхем

Send message

Отчет о посещении презентации Львовского IT-Кластера в Пало-Альто, Калифорния

Reading time6 min
Views3.2K
Побывал на презентации Львовского IT-Кластера в Пало-Альто, Калифорния. Презентация проходила в Пало-Альто, в коммьюнити центре, в котором я когда-то ходил на курсы японского языка.

Встреча рекламировалась на Фейсбуке и на нее пришло двадцать с чем-то человек, среди которых были: программисты из Фейсбука и Микрософта, представители компаний ведущих аутсорсинг бизнес с Украиной, я и Сергей Вакуленко из Imagination Technologies, аналоговый электронщик из KLA-Tencor, девушка-графический дизайнер, программист из медицинской компании и другие. 100% присутствующих владели украинским языком.

lviv_it_cluster_2_160311_191353
Читать дальше →
Total votes 10: ↑9 and ↓1+8
Comments1

Как делать парсинг текста голым хардвером, без процессора и без софтвера

Reading time13 min
Views39K
Кто-то парсирует текстовый файл программой на Питоне, другой пишет скрипт с регулярными выражениями на Перле, Си-программист стыдливо возится с буферами и указателями, иногда применяя Yacc и Lex.

А можно ли парсировать текст голым железом? Вообще без программы?

— А как это?, — спросил меня знакомый, — С помощью Ардуино?

— Внутри Ардуино стоит вполне фон-неймановский процессор и работает программа, — ответил я, — Нет, еще более голое железо.

— А-а-а-а, этот, микрокод?, — догадался мой товарищ и взглянул на меня победно.

— Нет, термин «микрокод» использовался для специфической организации процессоров в 1970-е годы, потом его использование сошло на нет, — ответил я и добавил, — Правда есть еще микрооперации в интеловских процессорах, в которые перекодируется x86, но это тоже другое. Нет, я имею в виду парсинг текста устройством, состоящим из логических элементов И-ИЛИ-НЕ и Д-триггерами, как на картинке ниже.

— Невозможно! — воскликнул мой приятель, — в таком устройстве где-то сбоку должен сидеть процессор и хитро подмигивать!

— Почему это невозможно?, — парировал я, — Вот машину Тьюринга знаешь? Парсирует текст на ленте, а сбоку никакие интелы и ардуино не подмигивают.

— Нуу, машина Тьюринга, — протянул приятель, — это абстракция, типа Демона Максвелла.

— Никакой абстракции, сейчас увидишь работающую схему, парсирующую текст, — сказал я и прибавил, — но сначала расскажу, зачем мне вообще это понадобилось.

mfp_srec_parser_fragment
Читать дальше →
Total votes 87: ↑83 and ↓4+79
Comments33

Платы для разработчиков и терминал на основе российского микропроцессора Байкал-Т

Reading time3 min
Views31K
За последние три дня вышло несколько новостей про российский процессор Байкал-Т:

1. Российская компания «Т-Платформы» представила процессорный модуль SF-BT1 с Байкалом-Т, который она собирается распостранять среди разработчиков.

2. Также «Т-Платформы» выпустили на основе Байкала-Т тонкий клиент «Таволга терминал», который может работать не только как терминал, но и как автономной компьютер с Linux Debian 8.

3. Т-Платформы показывали и плату для разрабочиков, и терминал на основе Байкала-Т на выставке Embedded World в Нюренберге, в сотрудничестве с британской компанией Imagination Technologies, которая разработала микропроцессорное ядро MIPS P5600, которое использует Байкал-Т.

Я не буду пересказывать все статьи про Байкал-Т, Т-Платформы и Таволгу. Те разрабочики, кто этим интересуется, могут сами сходить по ссылкам и посмотреть параметры плат. Я добавлю некоего информационного гарнира про процессор, его экосистему и историю.

На фотографии ниже — ранние пользователи байкаловских плат. Это инженеры из России, Украины и Казахстана, которые участвуют в разработке микропроцессорного ядра MIPS P5600 и его сотфтверной экосистеме в отделении Imagination Technologies в Санта-Клара, Калифорния: Леонид Егошин (поддержка многоядерности в ядре Линукса), Сергей Вакуленко (симулятор для верификации) и Юрий Панчул (модели интерфейсов шин):



Вообще Байкал-Т — это плод международного сотрудничества, в которое вовлечены в частности:
Читать дальше →
Total votes 37: ↑29 and ↓8+21
Comments48

Как начать работать с MIPSfpga

Reading time3 min
Views25K
MIPSfpga — это пакет, который содержит процессорное ядро в исходниках на Verilog, которое можно менять, добавлять новые инструкции, строить многопроцессорные системы, менять одновременно софтвер и хардвер, симулировать на симуляторе верилога, синтезировать для ПЛИС/FPGA и т.д. Его можно в целях эксперимента например запускать с частотой 1 такт в секунду и выводить наружу информацию о состоянии кэша, конвейера, и любых структур внутри процессора. При этом ядро MIPS microAptiv UP внутри MIPSfpga — это то же ядро которое например используется в платформе IoT Samsung Artik 1 и Microchip PIC32MZ, т.е. студенты получают возможность работать с тем же кодом, с которым работают инженеры в Samsung и Microchip.

MIPSfpga не предназначен для введения в предмет с абсолютного нуля. Для его плодотворного использования нужно чтобы студент или исследователь уже знал основы цифровой схемотехники, умел бы программировать на Си и на ассемблере, а также представлял бы концепции микроархитектуры — конвейера, конфликтов конвейера и т.д. Желательно, чтобы до работы с MIPSfpga студент уже бы построил собственный простой процессор с нуля и мог бы сравнивать свой простой процессор с процессором, используемым в промышленности и совместимым с развитой экосистемой разработки.



Читать дальше →
Total votes 15: ↑14 and ↓1+13
Comments11

Дополнение 1 к посту «Путешествие по микроэлектронной России 2015 года»: визит в НПЦ «ЭЛВИС»

Reading time2 min
Views5.7K
В моем предыдущем посте «Путешествие по микроэлектронной России» был значительный пробел: я не написал про посещение заленоградской компании «ЭЛВИС», одной из главных надежд российской разработки электроники. Это связано с тем, что я не был уверен, хорошо ли отнесутся элвисовцы к тому, чтобы о них чего-нибудь писали. Однако когда они прочитали мой предыдущий пост, они спросили «а почему нас там нет?», от чего я понял, что они не против. Итого:

В Музее истории компьютеров в Mountain View, California, есть вот такой экспонат:

computer_history_museum_mir

Это бортовой компьютер космической станции «МИР», к которому приложили руку элвисовцы, еще когда они были не «ЭЛВИС» ( НПЦ «ЭЛВИС» и ЭЛВИС-НеоТек ), а советским предприятием «ЭЛАС». Эласовцы разрабатывали электронику для компьютерных станций «Салют».

В превращении «ЭЛАС» в «ЭЛВИС» значительную роль сыграл Александр Галицкий, ныне венчурный капиталист. Я сфотографировал Галицкого на конференции украинского хайтека в Сан-Франциско, где он стоял вместе с другим венчурным капиталистом, которого звали Андрей Колодюк:

Читать дальше →
Total votes 16: ↑9 and ↓7+2
Comments5

Путешествие по микроэлектронной России (академическая часть)

Reading time10 min
Views14K
Три недели на просторах бескрайней, с посещениями МГУ, МФТИ, МИФИ, зеленоградского МИЭТ, питерского ИТМО, самарского СГАУ, сколковского Сколкова и зеленогорского Microchip Masters Russia. В историческом для российской микроэлектроники 2015 году, когда появились спроектированные в России чипы на 28 nm, с гигагерцовыми частотами и суперскалярными процессорными ядрами на борту, когда зеленоградские команды начали интегрировать на одном чипе разработанные в России блоки видеообработки с блоками, разработанными в Калифорнии и Великобритании в совместных международных проектах, с теми же компаниями, с которыми сотрудничает Apple (Synopsys, Imagination Technologies, Cadence, ARM и другими).

Путешествие началось c парти на софтверной конференции SECR — Central & Eastern European Software Engineering Conference in Russia, на которое меня пригласил мой старый приятель Максим Цепков. Я обсудил c товарищами вопросы поддержки российских микропроцессорных проектов типа Байкала-Т со стороны российского софтверного сообщества. Конференция проходила в Digital October, популярном московском месте для организаций мероприятий такого рода:

20151022_130714_moscow
Читать дальше →
Total votes 24: ↑20 and ↓4+16
Comments1

Отчет о посещении кружка по изучению основ цифровой схемотехники в Музее Космонавтики в Москве

Reading time3 min
Views7.1K
Когда я был маленьким мальчиком, моей любимой книгой была «Земля и Небо». Она до сих пор стоит у меня на полке:
zemlya_i_nebo_151213_211040


Потом я в 1 классе поехал в Москву, пошел на ВДНХ в павильон «Космос», и с большим интересом познакомился там с луноходом. И вот недавно, 38 лет спустя, я повторил знакомство:
Читать дальше →
Total votes 16: ↑16 and ↓0+16
Comments4

Раздача слонов: FPGA платы для образовательных проектов с MIPSfpga

Reading time3 min
Views4.6K
Месяц назад в российских университетах прошли семинары по MIPSfpga (1, 2, 3, 4), а джентлемен из НИИСИ по имени Антон Павлов написал заметку про его собственные улучшения MIPSfpga «MIPSfpga: вне канона». Так как профессора встретили новый продукт для обучения студентов электронике в целом положительно, то возникла идея помочь начинаю платами, причем сразу у нескольких людей и организаций.

В частности, в честь Нового Года я в кооперации с Виталием Кравченко из univeda.ru планируем небольшую раздачу слонов, то бишь бесплатных плат Terasic DE0-CV с FPGA Altera Cyclone V. Так что вы сможете повторить подвиг Антона Павлова. Так как данная конкретная раздача делается _не_ на деньги Imagination Technologies, не на деньги Altera и не на деньги НПЦ «Элвис», а на деньги частных благотворителей, включая меня лично, то слоны будут раздаваться с довольно конкретными условиями, описанными ниже.

mipsfpga_setup_on_de0_cv_for_seminars_in_russia_20151018_114528

Цели мероприятия:

1. Повысить уровень вовлеченности российских вузов в мировые исследования в области микроархитектуры процессоров, проектирования СнК и гетерогенных многоядерных систем

2. Повысить количество русских текстов на MIPS Insider дабы посетители из международной электронной промышленности и academia начинали понимать, что русские идут

3. Изготовить, от лица коммьюнити, кучу учебных материалов вокруг MIPSfpga, которыми могли бы пользоваться как преподаватели российских вузов, так и преподаватели университетов в других странах — США, Японии, Китае и т.д.

Условия:
Читать дальше →
Total votes 6: ↑5 and ↓1+4
Comments3

Лаборатория роботехники и девушки в Сколково

Reading time6 min
Views12K
Сколково в ноябре напоминает военно-воздушную базу Ванденберг — военное сооружение с космодромом, расположенное в США (округ Санта-Барбара штата Калифорния). Та же безблагодатная жухлая равнина под серым небом, на которой стоят коробки и призмы загадочных сооружений. Вот сравним несколько мест Сколково и базы Ванденберг (по первому я ходил, а вторую фотографировал из окна поезда):

Это Сколково:

skolkovo_151111_120753-2
Читать дальше →
Total votes 23: ↑13 and ↓10+3
Comments21

Фотографии, материалы и перипетии семинара MIPSfpga в МИФИ

Reading time12 min
Views6.9K
В конце октября в МИФИ состоялся семинар по MIPSfpga — один из серии семинаров, организованных российскими вузами совместно с Imagination Technologies, Microchip Technology в партнерстве с Гамма Санкт-Петербург, представителями Xilinx в России компанией Макро Групп, с участием докладчиков из MathWorks и НИИСИ. Ниже — смесь из фотографий, ссылок на материалы, заметок о подготовке семинара и о мифишной культуре.

mipsfpga_mephi_20151028_125500-2

Ниже фотография МИФИ снаружи. Слово «ядерный» напоминает, что в МИФИ стоит ядерный реактор, поэтому туда трудно попасть, особенно с американским паспортом — пропуск мне готовили недели две, а приказ о семинаре подписывал ректор. На входе стоит автоматчик с Калашниковым (!)
Читать дальше →
Total votes 16: ↑16 and ↓0+16
Comments7

Провели семинар по процессору на ПЛИС MIPSfpga в МИЭТ

Reading time1 min
Views6.8K
Провели семинар по процессору на ПЛИС MIPSfpga в Национальном исследовательском университете «Московский институт электронной техники» ( ( bit.ly/mipsfpga_russia_2015_flier ) ). Получилось хорошо, уровень слушателей довольно высокий. Помимо меня, докладчика от Imagination Technologies, был докладчик из Макро Групп и MathWorks. Александр Филиппов из Макро Групп, официального представителя Xilinx в России, рассказал о Artix-7, Kintex-7 и UltraScale FPGA. Михаил Песельник, докладчик от MathWorks, рассказал о модели single-cycle реализации подмножества архитектуры MIPS в среде Simulink. Забыл попросить кого-нибудь меня сфотографировать, но остальные докладчики на фото ниже. Сегодня будет такой же семинар в МГУ, завтра в МИФИ.

Презентации использовавшиеся во время доклады от Imagination: panchul.com/dropbox/2014_10_26/presentations

Основной код для лабораторных работ: github.com/MIPSfpga/mipsfpga-plus



Читать дальше →
Total votes 16: ↑15 and ↓1+14
Comments12

Как России развить микроэлектронику и победить Айфон

Reading time8 min
Views13K
Основа основ современных гаджетов — технология создания “систем на кристалле” (СнК, по английски System on Chip, SoC). Как обучить этой черной магии XXI века российских студентов? Об этом возник разговор на семинарах, которые организовала весной в пригороде Лос-Анджелеса британская компания Imagination Technologies, являющаяся одним из разработчиков внутренностей Apple iPhone. Через неделю такие же семинары пройдут в России — для их организации Imagination скооперировалась с ведущими российскими университетами — МГУ, МИФИ, МИЭТ и ИТМО, а также с известным производителем микроконтроллеров, аризонской компаний Microchip Technology. Помимо недели семинаров 26-30 октября, семинары в сходном формате пройдут Самарском Аэрокосмическом (5 ноября) и в МФТИ (9 ноября).



Читать дальше →
Total votes 24: ↑14 and ↓10+4
Comments7

Cadence представит Genus на семинарах по разработке микросхем в Питере и Зеленограде

Reading time2 min
Views7.6K
Новости дружественных организаций (Cadence, МИЭТ, ИТМО, НПЦ Элвис и других):

Cadence Design Systems, одна из двух крупнейших мировых компаний в области автоматизации разработки микросхем, 15-16 октября проведет семинары в Московском институте электронной техники (МИЭТ) в Зеленограде и Питерском Университете ИТМО, в котором (по опыту моей поездки 2012 года) студенты очень хорошо понимают Verilog и системы на кристалле.

В семинарах примут участие и три российские компании «Элекроприбор», НИИМА «Прогресс» и НПЦ «Элвис». См. статью про сотрудничество с Imagination Technologies родственной НПЦ «Элвис» организации «Элвис-Неотек» на сайте Роснано.

Среди презентаций я лично рекомендую посмотреть на новый тул для синтеза Genus — я видел и заснял его презентацию в июне на выставке Design Automation Conference в Сан-Франциско:


Читать дальше →
Total votes 13: ↑12 and ↓1+11
Comments14

Портирование MIPSfpga на плату Terasic DE0-CV с Altera Cyclone V FPGA

Reading time3 min
Views6.7K
На днях мы вместе с Алексом и Владимиром (на фотке) спортировали MIPSfpga (пакет для введения в проектирование систем на кристалле) на плату Terasic DE0-CV с Altera Cyclone V FPGA. Эта плата — довольно хорошее решение для образовательного российского рынка, так как она дешевая ($150) и ее просто купить на сайте тайваньской компании Terasic, которая без лишней бюрократии доставляет платы в Россию. Мы попробуем использовать это на семинарах в МГУ, МИФИ, МИЭТ и ИТМО (см. в конце поста).


Читать дальше →
Total votes 9: ↑9 and ↓0+9
Comments4

Процессор «в замедленной съемке» и своя система на кристалле

Reading time6 min
Views11K
Хотите увидеть, как работает промышленный процессор «в замедленной съемке»? Как инструкции переваливаются из стадии в стадию конвейера, как запросы на чтение из памяти попадают или промахиваются мимо кэша? А как насчет построить свою систему на кристалле, используя то же микропроцессорное ядро, которое используют инженеры Samsung в новой платформе Artik 1? Если да, то это объявление для вас:



Уважаемый преподаватель компьютерной архитектуры, схемотехники или системного программирования:

Разработчик микропроцессорных ядер MIPS, компания Imagination Technologies, в сотрудничестве с ведущими российскими университетами и производителем микроконтроллеров Microchip Technology — объявляет о проведении серии семинаров в России, посвященных новому продукту для образования — MIPSfpga. Четыре бесплатных однодневных семинара посвященные MIPSfpga, пройдут с 26 по 30 октября в университетах Москвы, Зеленограда и Санкт-Петербурга. В дополнение к ним, MIPSfpga будет представлен на отдельном, платном семинаре Microchip Masters Russia в Санкт-Петербурге / Зеленогорске.

Сайт для регистрации на семинары MIPSfpga в университетах
bit.ly/mipsfpga-russia-registration


Сайт для регистрации на семинар Microchip Masters Russia
bit.ly/microchip-masters-russia-registration


MIPSfpga — бесплатно лицензируемые для университетов исходные тексты промышленного микропроцессорного ядра MIPS microAptiv UP. Это ядро используется как основа микроконтроллера Microchip PIC32MZ, а также новой платформы для «интернета вещей» — Samsung Artik 1.

Ядро MIPS microAptiv UP применяется в промышленности вместе со стандартным за последние 25 лет технологическим процессом проектирования микросхем, при котором процессор и другие компоненты системы на кристалле разрабатываются на языке описания аппаратуры Verilog, после чего описание через несколько преобразований превращается в маску, по которой на фабрике изготавливаются микросхемы.

Образовательная версия MIPS microAptiv UP — MIPSfpga — использует альтернативный путь реализации: описание системы превращается в файлы конфигурации для недорогих студенческих плат с программируемыми пользователем вентильными матрицами (ППВМ), которые часто называют программируемыми логическими интегральными схемами (ПЛИС) или Field Programmable Gate Array (FPGA).

Такое решение открывает много возможностей для образования:

  1. Студенты могут строить свои собственные прототипы систем на кристалле, соединяя микропроцессорное ядро, память и спроектированные ими устройства ввода-вывода
  2. Внутренние регистры можно подсоединять к выходным портам и выводить наружу информацию о текущем состоянии процессорного конвейера, кэшей и устройства управления памятью. После этого процессор можно запустить на низкой тактовой частоте и наблюдать его работу «в замедленной съемке».
  3. Студенты могут экспериментировать со своими вариантами кэшей, проектировать многоядерные системы со специализированными сопроцессорами, эксперименторовать с разделением задачи на аппаратную и программную часть.

Таким образом MIPSfpga занимает нишу между упрощенными академическими реализациями MIPS, которые традиционно применяются в курсах по микроархитектуре — и законченными промышленными процессорами, по которым студенты учат программирование встроенных систем. MIPSfpga — идеальная платформа для обучения системному мышлению на стыке разработки аппаратуры и программирования.
Читать дальше →
Total votes 18: ↑15 and ↓3+12
Comments7

Оруженосцы микроэлектроники. Видеорепортаж с конференции по проектированию электроники в Сан-Франциско

Reading time11 min
Views22K
Каким образом студент или университетский исследователь может не просто спроектировать микросхему, но и получить ее в свои руки с фабрики? Ведь начальный взнос за фабричное производство микросхем для коммерческих целей как правило превышает миллион долларов? К счастью, такой путь существует за гораздо меньшие деньги — через организацию, которая называется Europractice. Я записал видео интервью с ее директором Carl Das.

А если студент вырастет и захочет завалить спроектированными его компанией микросхемами все континенты, но не знает с чего начать? И на это у меня есть ответ — я записал также видео интервью с Mark Scrivener, директором eSilicon’s Semiconductor Manufacturing Services. Мы с Марком вместе рассчитали по пунктам расходы и себестоимость условного российского чипа, который будет производится в больших объемах в 2016-2020 годах.

Эти и другие видео я записал на конференции Design Automation Conference (DAC), которая прошла на прошлой неделе в Сан-Франциско. Конференция DAC фокусируется на индустрии Electronic Design Automation (EDA), которая обслуживает проектировщиков микросхем. Среди людей, которых я проинтервьировал на прошлой неделе — гуру языка описания аппаратуры Verilog Джон Сангвинетти, руководители компаний, которые разрабатывают софтверные инструменты для разработчиков микросхем, разработчики плат с ПЛИС, один из основателей зеленоградской компании по проектированию микросхем ЭЛВИС Александр Галицкий и другие специалисты и бизнесмены.

dac_20150608_191252.jpgdac_20150608_124050.jpgdac_20150608_124532.jpg

Итак:
Читать дальше →
Total votes 18: ↑17 and ↓1+16
Comments12

Бесплатный учебник электроники, архитектуры компьютера и низкоуровневого программирования на русском языке

Reading time2 min
Views246K

Господа! Я рад сообщить, что наконец-то все желающие могут загрузить бесплатный учебник на более чем 1600 страниц, над переводом которого работало более полусотни человек из ведущих университетов, институтов и компаний России, Украины, США и Великобритании. Это был реально народный проект и пример международной кооперации.

Учебник Дэвида Харриса и Сары Харрис «Цифровая схемотехника и архитектура компьютера», второе издание, 2012, сводит вместе миры программного обеспечения и аппаратуры, являясь одновременно введением и в разработку микросхем, и в низкоуровневое программирование для студентов младших курсов. Этот учебник превосходит более ранний вводный учебник «Архитектура компьютера и проектирование компьютерных систем» от Дэвида Паттерсона и Джона Хеннесси, причем соавтор предыдущего учебника Дэвид Паттерсон сам рекомендовал учебник от Харрисов как более продвинутый. Следуя новому учебнику, студенты строят реализацию подмножества архитектуры MIPS, используя платы с ПЛИС / FPGA, после чего сравнивают эту реализацию с индустриальными микроконтроллерами Microchip PIC32. Таким образом вводится вместе схемотехника, языки описания аппаратуры Verilog и VHDL, архитектура компьютера, микроархитектура (организация процессорного конвейера) и программирование на ассемблере — в общем все, что находится между физикой и высокоуровневым программированием.

Как загрузить? К сожалению, не одним кликом. Сначало надо зарегистрироваться в пользовательском коммьюнити Imagination Technologies, потом зарегистрироваться в образовательных программах на том же сайте, после чего наконец скачать:
Читать дальше →
Total votes 117: ↑114 and ↓3+111
Comments66

Мексика и Россия: схожие проблемы в обучении разработке электроники

Reading time2 min
Views14K
Разговаривал с мексиканскими студентами, которые приехали на семинар MIPSfpga в Лос-Анджелесе. Вообще студенты учатся в Калифорнийском университете в Ирвайне, тоже возле Лос-Анджелеса, но при этом они являются участниками распределенной исследовательской группы, часть которой работает в университете в Мехико, в Мексике. Студенты говорят, что главная проблема Мексики заключается в том, что никому не приходит в голову, что в Мексике можно проектировать электронику — все покупают готовые изделия типа айфона у американцев. Миссия группы в КУ в Ирвайне и в Мексики — изменить это представление.


Читать дальше →
Total votes 24: ↑18 and ↓6+12
Comments19

Семинар в LA и инициативы в России по MIPSfpga и преподаванию электроники

Reading time5 min
Views6.2K
Компания Imagination Technologies, известная как разработчик графического процессора PowerVR внутри Apple iPhone, с одобрением от президента Стенфорда Джона Хеннесси приглашает преподавателей и аспирантов электроники и программирования на бесплатный семинар рядом с Лос-Анжелесом, который пройдет 13-14 мая. Другим организатором семинара, помимо Imagination, является Xilinx — компания №1 в ПЛИС-ах / FPGA. Если вы занимаетесь исследованиями или преподаете, особенно рядом с Лос-Анжелесом (UCLA, Caltech, UC San Diego, CalPoly, UC Santa Barbara, UC Irvine, Arizona State, University of Nevada Las Vegas и т.д.) вы можете присоединиться — будет много интересного.
Читать дальше →
Total votes 12: ↑11 and ↓1+10
Comments12

Исходники промышленных процессоров станут доступными для университетов

Reading time5 min
Views17K
Господа! На днях Imagination Technologies (компания, которая спроектировала графический процессор PowerVR GPU внутри Apple iPhone) и Xilinx (компания №1 в ПЛИС-ах / FPGA) начали понемногу предавать гласности несколько иконоборческую инициативу по бесплатной раздаче университетам исходников современного индустриального процессорного ядра MIPS microAptiv UP, которое используется например в микроконтроллере Microchip PIC32MZ. Студенты смогут менять команды этого процессора, добавлять к нему свои периферийные устройства и реализовывать полученный дизайн на ПЛИС-ах.

Вот линк на сообщение о семинаре 13-14 мая возле Лос-Анжелеса, на котором Imagination и Xilinx будет показывать новый продукт под названием MIPSfpga представителям academia — imgtec.com/mipsfpga

Фактически MIPSfpga — это бесплатная лицензия на базовую конфигурацию экономичного процессорного ядра MIPS microAptiv UP, которое предоставляется в исходных текстах на языке описания аппаратуры Verilog. Это то же самое ядро, которое продается коммерческим клиентам за сотни тысяч долларов. MIPS microAptiv UP — это простой дизайн с пятью стадиями последовательного конвейера (как в учебниках компьютерной архитектуры), но в нем есть кэши и TLB MMU. TLB MMU позволяет даже использовать на нем Линукс.

Описание ядра: www.imgtec.com/mips/aptiv/microaptiv.asp


Читать дальше →
Total votes 30: ↑28 and ↓2+26
Comments24

Information

Rating
188-th
Location
Sunnyvale, California, США
Date of birth
Registered
Activity