Pull to refresh
3
0.4

User

Send message

[Личный опыт] Вжух — и ты в биотехе. Как устроиться на работу в отрасли, которая вдохновляет

Reading time7 min
Views9.6K

Неразлучная троица «требования/условия/обязанности» успела набить всем оскомину. Звучит совсем не как описание вакансии мечты. Кажется, самое главное — найти то место, которое подходит лично вам и отвечает вашим ценностям и запросам. Но как?

Поговорили с Python-разработчиком, который заинтересовался биотехнологиями и генетикой. Ещё четыре года назад по всей России нельзя было отыскать ни одной вакансии для разработчика в биотехе — но вот он недавно вышел в BostonGene. Казалось бы, событие простое. Но как, всё-таки, классно выйти на работу в компанию в той индустрии, которая вдохновляет. Размышляем о том, как искать идеальную команду и как понять, с каким менеджером не по пути. Передаём слово Сергею :)



Читать дальше →
Total votes 11: ↑8 and ↓3+7
Comments1

Пособие по программированию модулей ядра Linux. Ч.1

Reading time19 min
Views41K

Перед вами последняя версия пособия по программированию модулей ядра Linux, вышедшего 2 июля 2022 года. Пособие большое, поэтому материал будет разбит на серию статей. В первой части мы разберём, что такое модули ядра, рассмотрим необходимые подготовительные этапы для их создания и в завершении по традиции напишем первый простейший модуль «Hello world», попутно разобрав вопросы лицензирования, передачу аргументов командной строки и прочие нюансы. Это пособие вы можете смело воспроизводить и изменять в соответствии с условиями Open Software License v 3.0.
Читать дальше →
Total votes 43: ↑43 and ↓0+43
Comments4

Подключаем Slave-устройства с шиной Wishbone к системе на базе LiteX

Reading time15 min
Views2.3K

В предыдущих трёх статьях мы построили ПЛИС систему на базе LiteX, рабочие блоки для которой могут быть написаны не на странном языке, базирующимся на Питоне, а на чистом Верилоге. А благодаря LiteX, база для системы была создана для нас автоматически. Такой подход позволяет резко упростить и ускорить процесс разработки систем.

Пока что наши собственные модули были подключены к  системе через регистры команд и состояний (CSR). Часто этого более, чем достаточно, но иногда всё-таки разрабатываемые блоки должны содержать в себе сложные наборы регистров, а может даже и память. И без прямого подключения к системной шине не обойтись.

Сегодня мы подключим пару собственных Slave-устройств к системной шине Wishbone, которая будет создана средой LiteX. Устройства, разумеется, будут описаны на Верилоге. Приступаем!

Читать далее
Total votes 11: ↑10 and ↓1+13
Comments8

Сразу три причины, из-за которых контроллер GD32F450 теряет UDP пакеты

Reading time13 min
Views17K
Периодически на Хабре проскакивают статьи, где проверяется двоичная совместимость контроллеров GD32 с их аналогом STM32. Так получилось, что нам довелось поймать пусть и не на двоичном уровне, а на уровне исходников, ситуацию, где одно и то же проявление проблемы (теряются сетевые пакеты) было вызвано не одной, не двумя, а сразу тремя причинами, из которых две оказались признаками несовместимости с STM32. Вот о том, как мы эти причины ловили, я и хочу сегодня рассказать. Будет детектив, аналогичный тому, какой я приводил в своей старенькой статье про поддельную «голубую пилюлю». Ну, и выводы, куда же без них. Они тоже будут.


Читать дальше →
Total votes 74: ↑73 and ↓1+87
Comments32
12 ...
8

Information

Rating
1,977-th
Registered
Activity