Как стать автором
Обновить

Японские феи показывают работу master-slave триггера в новой манге по цифровой электронике

Время на прочтение9 мин
Количество просмотров20K
Всего голосов 45: ↑42 и ↓3+39
Комментарии50

Комментарии 50

Насколько мне известно, это целая серия книг с такой подачей материала. Есть и про физику, и про вышмат.
Где ее можно найти?

На Озоне в разделе образовательная манга

Серия книг интересна. Кстати, ориентирована для школьников и старшеклассников. Вот только нужна соответствующая форма восприятия. «Читал» про дифуры и ряд фурье мангу, тяжело.
Хотя меня поражает наличие литературы такой тематики у них, и отсутствие таковой у нас.
Есть ещё довольно интересный экземпляр «Сато. Без паники цифровая обработка сигналов». Вот эта книга зашла, рекомендую:)
Даже в «оптимизированной» схеме голосования на картинке 26 транзисторов. В том, что сделает верилог, будет 18. А на самом деле руками можно сделать 12 (или даже 10, если вас устроит инверсный выход).
А почему для инверсии выхода нужно два транзистора, а не один?
Мммм, потому что в инверторе два транзистора?
image
Если расскажете, как сделать на одном, буду признателен)
Ну это КМОП-процесс. Один биполярник и один резистор, подтягивающий коллектор к + или -, в зависимости от структуры биполярника, — тоже, в своем роде, инвертор.
Не-КМОП логика перестала активно применяться, когда я еще под стол пешком ходил. ТТЛ и прочие ЭСЛ сдались даже еще раньше, чем pMOS и nMOS логика. Ну и да, в моем исходном комментарии ни про какие резисторы речи не было.
Вы правы, я скорее ответил, чтобы ответить ))
Так сказать, не удержался
Не-КМОП логика перестала активно применяться, когда я еще под стол пешком ходил.
В этом и был вопрос, спасибо.
Корячить дополнительный резистор в любом случае было бы очень не технологично, действительно.
От резисторов в пользу КМОП отказались в первую очередь из-за энергопотребления — старый КМОП совсем не потребляет в статике, и с резисторами мы бы говорили о килоВаттах потребляемой мощности у процессоров, а не о десятках Ватт.
Что же касается технологичности, то там как раз проблем нет, резисторы прекрасно делаются из легированных карманов или из поликремния для затворов.
«Один биполярник и один резистор» — это даже не ТТЛ, это РТЛ какой-то. ТТЛ-инвертор имеет два или даже четыре транзистора.
Даже в РТЛ инверторе нужно два резистора для нормальной работы)
Про количество резисторов в нормальном ТТЛ-инверторе я даже говорить не буду.
Там после этой картинки они ее еще оптимизируют. Это не окончательная картинка.
До 18 транзисторов, потому что они ограничены библиотекой базовых логических элементов)
А там, где схемы голосования действительно применяются, то есть в космических чипах, их часто делают руками и добавляют в библиотеки в качестве отдельных элементов. И там можно поиграться еще, уже на транзисторном уровне.
«Я запрограммирую ПЛИС для ядерной ракеты и победю побежду Intel, Synopsis и General Electric за компанию сразу и одним махом»
НЛО прилетело и опубликовало эту надпись здесь
Вот однозначно +1. Даже в своё школьное время не понимал — зачем технические вопросы разбавляют какими-то идиотскими развлекалками. Развлекалки хоть как-то понятны когда надо донести задачу — зачем нужно такое устройство — каков его смысл. А когда пытаются саму работу устройства изобразить картинками — меня как ученика это только бесило — это просто сбивает с толку и заставляет тратить время на понимание того — что же хотел изобразить автор.
Даже в своё школьное время не понимал — зачем технические вопросы разбавляют какими-то идиотскими развлекалками.


Примерно по этой же причине я не перевариваю «книги» из серии Head First.
Как интересно, официальная ссылка на скачивание теперь ведет в никуда. А эл.версия в литресе — доступна только для чтения онлайн (за 1к руб), так как правообладатель запретил скачивать.
MIPS перестал быть частью Imagination Technologies и стал частью Wave Computing. Из-за этого с вебсайтом пертурбации. Их вы некоторый момент починят, но пока вы можете скачать самую последнюю версию со всеми исправлениями ошибок отсюда — www.silicon-russia.com/public_materials/2018_01_15_latest_harris_harris_ru_barabanov_version/digital_design_rus-25.10.2017.pdf
Благодарю, а то я их сайт и так и эдак облазил — нигде нет. (в других то источниках находится конечно)
Вы можете протестировать скачивание отсюда — www.mips.com/downloads/digital-design-and-computer-architecture-russian-edition-second-edition Если оно не работает, я спрошу у менеджера образовательных программ когда это починят.
За исправления — спасибо! В некоторых местах из-зи ошибок долгое время не приходило понимание материала. Бывало и так, что прочитав главу или последующую — возвращался и понимал где находится опечатка.
НЛО прилетело и опубликовало эту надпись здесь
Я полагаю что это в первую очередь зависит от типа мышления, если вы «технарь» то вам это будет только мешать, а если «гуманитарий» то скорее всего поможет.
У меня в жизни был такой случай, сестра никак не могла решить задачку по химии. Я сейчас уже совершенно не помню что была за задача (очень много лет уже прошло), но что-то из простейших уравнений реакций.
Когда я «переформулировал» эту задачу из химической в кулинарную (ну типа вместо веществ — ингреденты), то в точности так-же сформулированная задача, но со знакомыми словами решилась влёт.
НЛО прилетело и опубликовало эту надпись здесь
Так, а в РФ тоже такое отношение зарплат или нужно жениться и покупать трактор и тогда всё будет в шоколаде?
В РФ постепенно тоже образуется экосистема компаний, которые проектируют микроэлектронные чипы, и появляются соотвествующие работы по проектированию на Verilog и VHDL:

elvees.ru
baikalelectronics.ru
milandr.ru
km211.ru
mcst.ru

и другие
Не «образуется», а «сидит на гособоронзаказе еще с советских времен»)
Вопрос был в том, что они похоже образуются и развиваются медленнее, чем жавописательные конторы. Плюс я вам сто раз уже говорил, что Verilog и VHDL в РФ имхо актуальнее для разработок на ПЛИС, нежели для проектирования чипов (Хотя я не уверен, что подразумевает Hardware design на ваших скриншотах, может там и аналоговый дизайн чипов тоже входит).
Аналоговый дизайн — капля в море по объемам, если вы не в Texas Instruments работаете. А все цифровые схемы на verilog/vhdl разрабатываются. Да и в аналоговых давно цифровая часть есть примерно во всех.
Но конкретно в России — да, разработок на ПЛИС гораздо больше, чем разработок чипов (любых), поэтому знающий verilog/vhdl человек с большей вероятностью найдет работу разработчика на FPGA, чем разработчика ASIC.
Спасибо, что познакомили с интересной книгой, уйдёт в коллекцию вместе с серией «Образовательная манга» и Ю.Сато «Обработка сигналов. Первое знакомство». Из отечественных, чтобы доходчиво и запоминающеся объяснили суть ребёнку 10-14, могу вспомнить Шквореня и Альшуллера, остальные после начала быстро скатываются или в вышмат, или совсем в розовые сопли.
Шкворень — хорошая книжка, но у него нет привязки к технолониям проектирования последние 30 лет, то бишь маршруту RTL-to-GDSII, Verilog и FPGA. В то же время скажем в Харрис & Харрис эта привязка есть и при этом Харрис & Харрис тоже начинает с нуля, и ее может читать продвинутый школьник:

habr.com/post/317558
habr.com/post/308976
habr.com/post/336116
Теперь у многих читателей постов про ПЛИСы часто возникает вопрос: «А зачем это надо, ведь на Джаве работ больше?»
На это можно ответить: согласно сайту glassdoor, в Сан-Хосе, Калифорния, разработчики цифровых схем ценятся дороже, чем разработчики на Джаве:

А разработчики С++ ценятся выше, чем разработчики цифровых схем. Да и Java работ не больше, чем у «железа» (если говорить про тот же Сан Хосе). 1700 вакансий у С++, 1900 у Hardware Engineer и 1300 у Java.

Советую сразу цену аренды жилья там узнать.
Странно. У меня для Senior Java Software Engineer ровно такая же ЗП получается.
Видимо, у Glassdoor что-то с поиском.

image
только никому не говорите, что в этом регионе 90к на нос — low income, а в некоторых графствах чтобы выбраться из категории Low income надо зарабатывать больше 120. лофты от 2 миллионов, на минуточку.
В опросе не хватает варианта:
Изучить основы проектирования логических схем полезно для создания механизмов в Minecraft.
По цифровой схемотехнике есть очень хорошая книжка для начинающих:

Лобанов, «Азбука разработчика цифровых устройств».

Там, правда, про Verilog не написано, зато там отлично расписаны основы — триггеры, счетчики, регистры, карты Карно, цифровые автоматы, и многое другое. Очень рекомендую…
Вот Харрис и Харрис ровно тем лучше, что дает переход от основ к верилогу.
Спасибо, посмотрю. Но в каком-то виде привязка к Verilog нужна, так как это путь в индустрию, а без него все эти знания останутся либо на бумаге, либо в виде игры с микросхемами малой степени интеграции, которая OK, чтобы позаниматься этим несколько дней, но без Verilog никуда не ведет.
популяризация идей в таком виде и объёме — замечательное дело, но всё время выдаётся желаемое за действительное.
— что с вакансиями (количество, качество) не в Сан-Хосе а в Таганроге?
— как обстоит дело с полученными знаниями? так или иначе но железячник привязан к месту и времени. не всегда и не в полной мере можно работать одному. меньше гибкость применения полученных скилов, т.к. возможных проектов буквально меньше и каждый из них предполагает «длинное» участие.
Конкретно в Таганроге, кстати, есть вакансии, но зарплаты, я думаю, раз в десять отличаются от зарплат в Сан-Хосе. Основная часть вакансий сосредоточена в Москве-Зеленограде-Питере.
если при этом стоимость жизни отличается в 15 раз то пусть и зп отстаёт в 10х, ничего страшного в целом, хотя и плохо. ну и Таганрог только как пример.
у автора отличный материал, но с явным перекосом который прямо бьёт по глазам. ситуация аналогична историям успеха которые всегда обманывают начинающих. «как я изучил qBasic и стал системным архитектором». «как собрать мультивибратор и начать разрабатывать новый Zen для AMD». везде пропускаются описания 5-10 лет впахивания, предрасположенности «мозгов» и некоторого везения.
Я хочу стать разработчиком процессором, если будет доступен полнуц путь с сипользованием приятного языка, типа Clash, и оперсорсных тулгов для синтеза и прошивки FPGA.
Во-первых, определитесь, хотите стать разработчиком или процессором?
Chisel и проект risc-v Вам, возможно, понравиться.
YOSYS для синтеза ICARUS Verilog помоделировать. Располагайте, что получилось вручную, потому как Вы не указали под какую ПЛИС вы инструмент хотите.
Протоколы прошивки, обычно, все открыты.
Зарегистрируйтесь на Хабре, чтобы оставить комментарий

Публикации

Истории